You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

fixedPointTest_utilization_placed.rpt 9.3KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199
  1. Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
  2. -------------------------------------------------------------------------------------------------------------------------
  3. | Tool Version : Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021
  4. | Date : Fri May 13 14:41:53 2022
  5. | Host : DESKTOP-PAACOM8 running 64-bit major release (build 9200)
  6. | Command : report_utilization -file fixedPointTest_utilization_placed.rpt -pb fixedPointTest_utilization_placed.pb
  7. | Design : fixedPointTest
  8. | Device : xc7z010clg400-1
  9. | Speed File : -1
  10. | Design State : Fully Placed
  11. -------------------------------------------------------------------------------------------------------------------------
  12. Utilization Design Information
  13. Table of Contents
  14. -----------------
  15. 1. Slice Logic
  16. 1.1 Summary of Registers by Type
  17. 2. Slice Logic Distribution
  18. 3. Memory
  19. 4. DSP
  20. 5. IO and GT Specific
  21. 6. Clocking
  22. 7. Specific Feature
  23. 8. Primitives
  24. 9. Black Boxes
  25. 10. Instantiated Netlists
  26. 1. Slice Logic
  27. --------------
  28. +-------------------------+------+-------+------------+-----------+-------+
  29. | Site Type | Used | Fixed | Prohibited | Available | Util% |
  30. +-------------------------+------+-------+------------+-----------+-------+
  31. | Slice LUTs | 14 | 0 | 0 | 17600 | 0.08 |
  32. | LUT as Logic | 14 | 0 | 0 | 17600 | 0.08 |
  33. | LUT as Memory | 0 | 0 | 0 | 6000 | 0.00 |
  34. | Slice Registers | 0 | 0 | 0 | 35200 | 0.00 |
  35. | Register as Flip Flop | 0 | 0 | 0 | 35200 | 0.00 |
  36. | Register as Latch | 0 | 0 | 0 | 35200 | 0.00 |
  37. | F7 Muxes | 0 | 0 | 0 | 8800 | 0.00 |
  38. | F8 Muxes | 0 | 0 | 0 | 4400 | 0.00 |
  39. +-------------------------+------+-------+------------+-----------+-------+
  40. 1.1 Summary of Registers by Type
  41. --------------------------------
  42. +-------+--------------+-------------+--------------+
  43. | Total | Clock Enable | Synchronous | Asynchronous |
  44. +-------+--------------+-------------+--------------+
  45. | 0 | _ | - | - |
  46. | 0 | _ | - | Set |
  47. | 0 | _ | - | Reset |
  48. | 0 | _ | Set | - |
  49. | 0 | _ | Reset | - |
  50. | 0 | Yes | - | - |
  51. | 0 | Yes | - | Set |
  52. | 0 | Yes | - | Reset |
  53. | 0 | Yes | Set | - |
  54. | 0 | Yes | Reset | - |
  55. +-------+--------------+-------------+--------------+
  56. 2. Slice Logic Distribution
  57. ---------------------------
  58. +------------------------------------------+------+-------+------------+-----------+-------+
  59. | Site Type | Used | Fixed | Prohibited | Available | Util% |
  60. +------------------------------------------+------+-------+------------+-----------+-------+
  61. | Slice | 4 | 0 | 0 | 4400 | 0.09 |
  62. | SLICEL | 4 | 0 | | | |
  63. | SLICEM | 0 | 0 | | | |
  64. | LUT as Logic | 14 | 0 | 0 | 17600 | 0.08 |
  65. | using O5 output only | 0 | | | | |
  66. | using O6 output only | 13 | | | | |
  67. | using O5 and O6 | 1 | | | | |
  68. | LUT as Memory | 0 | 0 | 0 | 6000 | 0.00 |
  69. | LUT as Distributed RAM | 0 | 0 | | | |
  70. | LUT as Shift Register | 0 | 0 | | | |
  71. | Slice Registers | 0 | 0 | 0 | 35200 | 0.00 |
  72. | Register driven from within the Slice | 0 | | | | |
  73. | Register driven from outside the Slice | 0 | | | | |
  74. | Unique Control Sets | 0 | | 0 | 4400 | 0.00 |
  75. +------------------------------------------+------+-------+------------+-----------+-------+
  76. * * Note: Available Control Sets calculated as Slice * 1, Review the Control Sets Report for more information regarding control sets.
  77. 3. Memory
  78. ---------
  79. +----------------+------+-------+------------+-----------+-------+
  80. | Site Type | Used | Fixed | Prohibited | Available | Util% |
  81. +----------------+------+-------+------------+-----------+-------+
  82. | Block RAM Tile | 0 | 0 | 0 | 60 | 0.00 |
  83. | RAMB36/FIFO* | 0 | 0 | 0 | 60 | 0.00 |
  84. | RAMB18 | 0 | 0 | 0 | 120 | 0.00 |
  85. +----------------+------+-------+------------+-----------+-------+
  86. * Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
  87. 4. DSP
  88. ------
  89. +-----------+------+-------+------------+-----------+-------+
  90. | Site Type | Used | Fixed | Prohibited | Available | Util% |
  91. +-----------+------+-------+------------+-----------+-------+
  92. | DSPs | 0 | 0 | 0 | 80 | 0.00 |
  93. +-----------+------+-------+------------+-----------+-------+
  94. 5. IO and GT Specific
  95. ---------------------
  96. +-----------------------------+------+-------+------------+-----------+-------+
  97. | Site Type | Used | Fixed | Prohibited | Available | Util% |
  98. +-----------------------------+------+-------+------------+-----------+-------+
  99. | Bonded IOB | 43 | 0 | 0 | 100 | 43.00 |
  100. | IOB Master Pads | 21 | | | | |
  101. | IOB Slave Pads | 21 | | | | |
  102. | Bonded IPADs | 0 | 0 | 0 | 2 | 0.00 |
  103. | Bonded IOPADs | 0 | 0 | 0 | 130 | 0.00 |
  104. | PHY_CONTROL | 0 | 0 | 0 | 2 | 0.00 |
  105. | PHASER_REF | 0 | 0 | 0 | 2 | 0.00 |
  106. | OUT_FIFO | 0 | 0 | 0 | 8 | 0.00 |
  107. | IN_FIFO | 0 | 0 | 0 | 8 | 0.00 |
  108. | IDELAYCTRL | 0 | 0 | 0 | 2 | 0.00 |
  109. | IBUFDS | 0 | 0 | 0 | 96 | 0.00 |
  110. | PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 8 | 0.00 |
  111. | PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 8 | 0.00 |
  112. | IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 100 | 0.00 |
  113. | ILOGIC | 0 | 0 | 0 | 100 | 0.00 |
  114. | OLOGIC | 0 | 0 | 0 | 100 | 0.00 |
  115. +-----------------------------+------+-------+------------+-----------+-------+
  116. 6. Clocking
  117. -----------
  118. +------------+------+-------+------------+-----------+-------+
  119. | Site Type | Used | Fixed | Prohibited | Available | Util% |
  120. +------------+------+-------+------------+-----------+-------+
  121. | BUFGCTRL | 0 | 0 | 0 | 32 | 0.00 |
  122. | BUFIO | 0 | 0 | 0 | 8 | 0.00 |
  123. | MMCME2_ADV | 0 | 0 | 0 | 2 | 0.00 |
  124. | PLLE2_ADV | 0 | 0 | 0 | 2 | 0.00 |
  125. | BUFMRCE | 0 | 0 | 0 | 4 | 0.00 |
  126. | BUFHCE | 0 | 0 | 0 | 48 | 0.00 |
  127. | BUFR | 0 | 0 | 0 | 8 | 0.00 |
  128. +------------+------+-------+------------+-----------+-------+
  129. 7. Specific Feature
  130. -------------------
  131. +-------------+------+-------+------------+-----------+-------+
  132. | Site Type | Used | Fixed | Prohibited | Available | Util% |
  133. +-------------+------+-------+------------+-----------+-------+
  134. | BSCANE2 | 0 | 0 | 0 | 4 | 0.00 |
  135. | CAPTUREE2 | 0 | 0 | 0 | 1 | 0.00 |
  136. | DNA_PORT | 0 | 0 | 0 | 1 | 0.00 |
  137. | EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 |
  138. | FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 |
  139. | ICAPE2 | 0 | 0 | 0 | 2 | 0.00 |
  140. | STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 |
  141. | XADC | 0 | 0 | 0 | 1 | 0.00 |
  142. +-------------+------+-------+------------+-----------+-------+
  143. 8. Primitives
  144. -------------
  145. +----------+------+---------------------+
  146. | Ref Name | Used | Functional Category |
  147. +----------+------+---------------------+
  148. | IBUF | 28 | IO |
  149. | OBUF | 15 | IO |
  150. | LUT2 | 14 | LUT |
  151. | CARRY4 | 4 | CarryLogic |
  152. | LUT1 | 1 | LUT |
  153. +----------+------+---------------------+
  154. 9. Black Boxes
  155. --------------
  156. +----------+------+
  157. | Ref Name | Used |
  158. +----------+------+
  159. 10. Instantiated Netlists
  160. -------------------------
  161. +----------+------+
  162. | Ref Name | Used |
  163. +----------+------+