You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

gen_run.xml 9.1KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170
  1. <?xml version="1.0" encoding="UTF-8"?>
  2. <GenRun Id="impl_1" LaunchPart="xc7z010clg400-1" LaunchTime="1652445674">
  3. <File Type="ROUTE-PWR" Name="fixedPointTest_power_routed.rpt"/>
  4. <File Type="PA-TCL" Name="fixedPointTest.tcl"/>
  5. <File Type="BITSTR-MMI" Name="fixedPointTest.mmi"/>
  6. <File Type="OPT-METHODOLOGY-DRC" Name="fixedPointTest_methodology_drc_opted.rpt"/>
  7. <File Type="INIT-TIMING" Name="fixedPointTest_timing_summary_init.rpt"/>
  8. <File Type="ROUTE-PWR-SUM" Name="fixedPointTest_power_summary_routed.pb"/>
  9. <File Type="REPORTS-TCL" Name="fixedPointTest_reports.tcl"/>
  10. <File Type="OPT-TIMING" Name="fixedPointTest_timing_summary_opted.rpt"/>
  11. <File Type="OPT-DCP" Name="fixedPointTest_opt.dcp"/>
  12. <File Type="OPT-DRC" Name="fixedPointTest_drc_opted.rpt"/>
  13. <File Type="OPT-HWDEF" Name="fixedPointTest.hwdef"/>
  14. <File Type="PWROPT-DCP" Name="fixedPointTest_pwropt.dcp"/>
  15. <File Type="PWROPT-DRC" Name="fixedPointTest_drc_pwropted.rpt"/>
  16. <File Type="PWROPT-TIMING" Name="fixedPointTest_timing_summary_pwropted.rpt"/>
  17. <File Type="PLACE-DCP" Name="fixedPointTest_placed.dcp"/>
  18. <File Type="PLACE-IO" Name="fixedPointTest_io_placed.rpt"/>
  19. <File Type="PLACE-CLK" Name="fixedPointTest_clock_utilization_placed.rpt"/>
  20. <File Type="PLACE-UTIL" Name="fixedPointTest_utilization_placed.rpt"/>
  21. <File Type="PLACE-UTIL-PB" Name="fixedPointTest_utilization_placed.pb"/>
  22. <File Type="PLACE-CTRL" Name="fixedPointTest_control_sets_placed.rpt"/>
  23. <File Type="PLACE-SIMILARITY" Name="fixedPointTest_incremental_reuse_placed.rpt"/>
  24. <File Type="PLACE-PRE-SIMILARITY" Name="fixedPointTest_incremental_reuse_pre_placed.rpt"/>
  25. <File Type="BG-BIN" Name="fixedPointTest.bin"/>
  26. <File Type="PLACE-TIMING" Name="fixedPointTest_timing_summary_placed.rpt"/>
  27. <File Type="POSTPLACE-PWROPT-DCP" Name="fixedPointTest_postplace_pwropt.dcp"/>
  28. <File Type="BITSTR-MSK" Name="fixedPointTest.msk"/>
  29. <File Type="POSTPLACE-PWROPT-TIMING" Name="fixedPointTest_timing_summary_postplace_pwropted.rpt"/>
  30. <File Type="PHYSOPT-DCP" Name="fixedPointTest_physopt.dcp"/>
  31. <File Type="PHYSOPT-DRC" Name="fixedPointTest_drc_physopted.rpt"/>
  32. <File Type="BITSTR-RBT" Name="fixedPointTest.rbt"/>
  33. <File Type="PHYSOPT-TIMING" Name="fixedPointTest_timing_summary_physopted.rpt"/>
  34. <File Type="ROUTE-ERROR-DCP" Name="fixedPointTest_routed_error.dcp"/>
  35. <File Type="ROUTE-DCP" Name="fixedPointTest_routed.dcp"/>
  36. <File Type="ROUTE-BLACKBOX-DCP" Name="fixedPointTest_routed_bb.dcp"/>
  37. <File Type="ROUTE-DRC" Name="fixedPointTest_drc_routed.rpt"/>
  38. <File Type="ROUTE-DRC-PB" Name="fixedPointTest_drc_routed.pb"/>
  39. <File Type="ROUTE-DRC-RPX" Name="fixedPointTest_drc_routed.rpx"/>
  40. <File Type="ROUTE-METHODOLOGY-DRC" Name="fixedPointTest_methodology_drc_routed.rpt"/>
  41. <File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="fixedPointTest_methodology_drc_routed.rpx"/>
  42. <File Type="ROUTE-METHODOLOGY-DRC-PB" Name="fixedPointTest_methodology_drc_routed.pb"/>
  43. <File Type="ROUTE-PWR-RPX" Name="fixedPointTest_power_routed.rpx"/>
  44. <File Type="ROUTE-STATUS" Name="fixedPointTest_route_status.rpt"/>
  45. <File Type="ROUTE-STATUS-PB" Name="fixedPointTest_route_status.pb"/>
  46. <File Type="ROUTE-TIMINGSUMMARY" Name="fixedPointTest_timing_summary_routed.rpt"/>
  47. <File Type="ROUTE-TIMING-PB" Name="fixedPointTest_timing_summary_routed.pb"/>
  48. <File Type="ROUTE-TIMING-RPX" Name="fixedPointTest_timing_summary_routed.rpx"/>
  49. <File Type="ROUTE-SIMILARITY" Name="fixedPointTest_incremental_reuse_routed.rpt"/>
  50. <File Type="ROUTE-CLK" Name="fixedPointTest_clock_utilization_routed.rpt"/>
  51. <File Type="ROUTE-BUS-SKEW" Name="fixedPointTest_bus_skew_routed.rpt"/>
  52. <File Type="ROUTE-BUS-SKEW-PB" Name="fixedPointTest_bus_skew_routed.pb"/>
  53. <File Type="ROUTE-BUS-SKEW-RPX" Name="fixedPointTest_bus_skew_routed.rpx"/>
  54. <File Type="POSTROUTE-PHYSOPT-DCP" Name="fixedPointTest_postroute_physopt.dcp"/>
  55. <File Type="POSTROUTE-PHYSOPT-BLACKBOX-DCP" Name="fixedPointTest_postroute_physopt_bb.dcp"/>
  56. <File Type="POSTROUTE-PHYSOPT-TIMING" Name="fixedPointTest_timing_summary_postroute_physopted.rpt"/>
  57. <File Type="POSTROUTE-PHYSOPT-TIMING-PB" Name="fixedPointTest_timing_summary_postroute_physopted.pb"/>
  58. <File Type="POSTROUTE-PHYSOPT-TIMING-RPX" Name="fixedPointTest_timing_summary_postroute_physopted.rpx"/>
  59. <File Type="POSTROUTE-PHYSOPT-BUS-SKEW" Name="fixedPointTest_bus_skew_postroute_physopted.rpt"/>
  60. <File Type="BG-BIT" Name="fixedPointTest.bit"/>
  61. <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-PB" Name="fixedPointTest_bus_skew_postroute_physopted.pb"/>
  62. <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-RPX" Name="fixedPointTest_bus_skew_postroute_physopted.rpx"/>
  63. <File Type="BITSTR-NKY" Name="fixedPointTest.nky"/>
  64. <File Type="BITSTR-BMM" Name="fixedPointTest_bd.bmm"/>
  65. <File Type="PDI-FILE" Name="fixedPointTest.pdi"/>
  66. <File Type="RCFI_FILE" Name="fixedPointTest.rcfi"/>
  67. <File Type="CFI_FILE" Name="fixedPointTest.cfi"/>
  68. <File Type="RNPI_FILE" Name="fixedPointTest.rnpi"/>
  69. <File Type="NPI_FILE" Name="fixedPointTest.npi"/>
  70. <File Type="RBD_FILE" Name="fixedPointTest.rbd"/>
  71. <File Type="BITSTR-LTX" Name="debug_nets.ltx"/>
  72. <File Type="BITSTR-LTX" Name="fixedPointTest.ltx"/>
  73. <File Type="BITSTR-SYSDEF" Name="fixedPointTest.sysdef"/>
  74. <File Type="BG-BGN" Name="fixedPointTest.bgn"/>
  75. <File Type="BG-DRC" Name="fixedPointTest.drc"/>
  76. <File Type="RDI-RDI" Name="fixedPointTest.vdi"/>
  77. <File Type="WBT-USG" Name="usage_statistics_webtalk.html"/>
  78. <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
  79. <Filter Type="Srcs"/>
  80. <File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_float_types.vhdl">
  81. <FileInfo SFType="VHDL2008">
  82. <Attr Name="Library" Val="ieee_proposed"/>
  83. <Attr Name="ImportPath" Val="$PPRDIR/../../../../Bibliotheken/fixedPoint/fixed_float_types.vhdl"/>
  84. <Attr Name="ImportTime" Val="1652436395"/>
  85. <Attr Name="UsedIn" Val="synthesis"/>
  86. <Attr Name="UsedIn" Val="simulation"/>
  87. </FileInfo>
  88. </File>
  89. <File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_generic_pkg.vhdl">
  90. <FileInfo SFType="VHDL2008">
  91. <Attr Name="Library" Val="ieee_proposed"/>
  92. <Attr Name="ImportPath" Val="$PPRDIR/../../../../Bibliotheken/fixedPoint/fixed_generic_pkg.vhdl"/>
  93. <Attr Name="ImportTime" Val="1652436398"/>
  94. <Attr Name="UsedIn" Val="synthesis"/>
  95. <Attr Name="UsedIn" Val="simulation"/>
  96. </FileInfo>
  97. </File>
  98. <File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_generic_pkg-body.vhdl">
  99. <FileInfo SFType="VHDL2008">
  100. <Attr Name="Library" Val="ieee_proposed"/>
  101. <Attr Name="ImportPath" Val="$PPRDIR/../../../../Bibliotheken/fixedPoint/fixed_generic_pkg-body.vhdl"/>
  102. <Attr Name="ImportTime" Val="1652436400"/>
  103. <Attr Name="UsedIn" Val="synthesis"/>
  104. <Attr Name="UsedIn" Val="simulation"/>
  105. </FileInfo>
  106. </File>
  107. <File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_pkg.vhdl">
  108. <FileInfo SFType="VHDL2008">
  109. <Attr Name="Library" Val="ieee_proposed"/>
  110. <Attr Name="ImportPath" Val="$PPRDIR/../../../../Bibliotheken/fixedPoint/fixed_pkg.vhdl"/>
  111. <Attr Name="ImportTime" Val="1652436402"/>
  112. <Attr Name="UsedIn" Val="synthesis"/>
  113. <Attr Name="UsedIn" Val="simulation"/>
  114. </FileInfo>
  115. </File>
  116. <File Path="$PSRCDIR/sources_1/new/fixedPointTest.vhd">
  117. <FileInfo SFType="VHDL2008">
  118. <Attr Name="UsedIn" Val="synthesis"/>
  119. <Attr Name="UsedIn" Val="simulation"/>
  120. </FileInfo>
  121. </File>
  122. <Config>
  123. <Option Name="DesignMode" Val="RTL"/>
  124. <Option Name="TopModule" Val="fixedPointTest"/>
  125. <Option Name="TopAutoSet" Val="TRUE"/>
  126. </Config>
  127. </FileSet>
  128. <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
  129. <Filter Type="Constrs"/>
  130. <File Path="$PSRCDIR/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc">
  131. <FileInfo>
  132. <Attr Name="ImportPath" Val="$PPRDIR/../../../../Bibliotheken/digilent-xdc-master/Cora-Z7-10-Master.xdc"/>
  133. <Attr Name="ImportTime" Val="1648802911"/>
  134. <Attr Name="UsedIn" Val="synthesis"/>
  135. <Attr Name="UsedIn" Val="implementation"/>
  136. </FileInfo>
  137. </File>
  138. <Config>
  139. <Option Name="ConstrsType" Val="XDC"/>
  140. </Config>
  141. </FileSet>
  142. <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1">
  143. <Filter Type="Utils"/>
  144. <File Path="$PSRCDIR/utils_1/imports/synth_1/fixedPointTest.dcp">
  145. <FileInfo>
  146. <Attr Name="UsedIn" Val="synthesis"/>
  147. <Attr Name="UsedIn" Val="implementation"/>
  148. <Attr Name="UsedInSteps" Val="synth_1"/>
  149. <Attr Name="AutoDcp" Val="1"/>
  150. </FileInfo>
  151. </File>
  152. <Config>
  153. <Option Name="TopAutoSet" Val="TRUE"/>
  154. </Config>
  155. </FileSet>
  156. <Strategy Version="1" Minor="2">
  157. <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2021">
  158. <Desc>Default settings for Implementation.</Desc>
  159. </StratHandle>
  160. <Step Id="init_design"/>
  161. <Step Id="opt_design"/>
  162. <Step Id="power_opt_design"/>
  163. <Step Id="place_design"/>
  164. <Step Id="post_place_power_opt_design"/>
  165. <Step Id="phys_opt_design"/>
  166. <Step Id="route_design"/>
  167. <Step Id="post_route_phys_opt_design"/>
  168. <Step Id="write_bitstream"/>
  169. </Strategy>
  170. </GenRun>