You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

runme.log 36KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596
  1. *** Running vivado
  2. with args -log fixedPointTest.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source fixedPointTest.tcl -notrace
  3. ****** Vivado v2021.2 (64-bit)
  4. **** SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021
  5. **** IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021
  6. ** Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
  7. source fixedPointTest.tcl -notrace
  8. create_project: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1261.340 ; gain = 10.250
  9. Command: link_design -top fixedPointTest -part xc7z010clg400-1
  10. Design is defaulting to srcset: sources_1
  11. Design is defaulting to constrset: constrs_1
  12. INFO: [Device 21-403] Loading part xc7z010clg400-1
  13. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1261.340 ; gain = 0.000
  14. INFO: [Netlist 29-17] Analyzing 4 Unisim elements for replacement
  15. INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
  16. INFO: [Project 1-479] Netlist was created with Vivado 2021.2
  17. INFO: [Project 1-570] Preparing netlist for logic optimization
  18. Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc]
  19. WARNING: [Vivado 12-584] No ports matched 'clk'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:7]
  20. CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:7]
  21. Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
  22. WARNING: [Vivado 12-584] No ports matched 'led0_b'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:11]
  23. CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:11]
  24. Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
  25. WARNING: [Vivado 12-584] No ports matched 'led0_g'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:12]
  26. CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:12]
  27. Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
  28. WARNING: [Vivado 12-584] No ports matched 'led0_r'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:13]
  29. CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:13]
  30. Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
  31. WARNING: [Vivado 12-584] No ports matched 'led1_b'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:15]
  32. CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:15]
  33. Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
  34. WARNING: [Vivado 12-584] No ports matched 'led1_g'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:16]
  35. CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:16]
  36. Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
  37. WARNING: [Vivado 12-584] No ports matched 'led1_r'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:17]
  38. CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:17]
  39. Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
  40. Finished Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc]
  41. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
  42. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1261.340 ; gain = 0.000
  43. INFO: [Project 1-111] Unisim Transformation Summary:
  44. No Unisim elements were transformed.
  45. 7 Infos, 7 Warnings, 7 Critical Warnings and 0 Errors encountered.
  46. link_design completed successfully
  47. link_design: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 1261.340 ; gain = 0.000
  48. Command: opt_design
  49. Attempting to get a license for feature 'Implementation' and/or device 'xc7z010'
  50. INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010'
  51. Running DRC as a precondition to command opt_design
  52. Starting DRC Task
  53. INFO: [DRC 23-27] Running DRC with 2 threads
  54. INFO: [Project 1-461] DRC finished with 0 Errors
  55. INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information.
  56. Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1261.340 ; gain = 0.000
  57. Starting Cache Timing Information Task
  58. INFO: [Timing 38-35] Done setting XDC timing constraints.
  59. Ending Cache Timing Information Task | Checksum: d688f8fa
  60. Time (s): cpu = 00:00:08 ; elapsed = 00:00:09 . Memory (MB): peak = 1387.457 ; gain = 126.117
  61. Starting Logic Optimization Task
  62. Phase 1 Retarget
  63. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
  64. INFO: [Opt 31-49] Retargeted 0 cell(s).
  65. Phase 1 Retarget | Checksum: d688f8fa
  66. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.008 . Memory (MB): peak = 1683.215 ; gain = 0.000
  67. INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells
  68. Phase 2 Constant propagation
  69. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
  70. Phase 2 Constant propagation | Checksum: d688f8fa
  71. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.009 . Memory (MB): peak = 1683.215 ; gain = 0.000
  72. INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells
  73. Phase 3 Sweep
  74. Phase 3 Sweep | Checksum: 9d9fcb97
  75. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.011 . Memory (MB): peak = 1683.215 ; gain = 0.000
  76. INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells
  77. Phase 4 BUFG optimization
  78. Phase 4 BUFG optimization | Checksum: 9d9fcb97
  79. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.013 . Memory (MB): peak = 1683.215 ; gain = 0.000
  80. INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells.
  81. Phase 5 Shift Register Optimization
  82. INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs
  83. Phase 5 Shift Register Optimization | Checksum: 9d9fcb97
  84. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1683.215 ; gain = 0.000
  85. INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells
  86. Phase 6 Post Processing Netlist
  87. Phase 6 Post Processing Netlist | Checksum: 9d9fcb97
  88. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1683.215 ; gain = 0.000
  89. INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells
  90. Opt_design Change Summary
  91. =========================
  92. -------------------------------------------------------------------------------------------------------------------------
  93. | Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations |
  94. -------------------------------------------------------------------------------------------------------------------------
  95. | Retarget | 0 | 0 | 0 |
  96. | Constant propagation | 0 | 0 | 0 |
  97. | Sweep | 0 | 0 | 0 |
  98. | BUFG optimization | 0 | 0 | 0 |
  99. | Shift Register Optimization | 0 | 0 | 0 |
  100. | Post Processing Netlist | 0 | 0 | 0 |
  101. -------------------------------------------------------------------------------------------------------------------------
  102. Starting Connectivity Check Task
  103. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1683.215 ; gain = 0.000
  104. Ending Logic Optimization Task | Checksum: f158031e
  105. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.018 . Memory (MB): peak = 1683.215 ; gain = 0.000
  106. Starting Power Optimization Task
  107. INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns.
  108. Ending Power Optimization Task | Checksum: f158031e
  109. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1683.215 ; gain = 0.000
  110. Starting Final Cleanup Task
  111. Ending Final Cleanup Task | Checksum: f158031e
  112. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1683.215 ; gain = 0.000
  113. Starting Netlist Obfuscation Task
  114. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1683.215 ; gain = 0.000
  115. Ending Netlist Obfuscation Task | Checksum: f158031e
  116. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1683.215 ; gain = 0.000
  117. INFO: [Common 17-83] Releasing license: Implementation
  118. 24 Infos, 7 Warnings, 7 Critical Warnings and 0 Errors encountered.
  119. opt_design completed successfully
  120. opt_design: Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1683.215 ; gain = 421.875
  121. INFO: [Timing 38-480] Writing timing data to binary archive.
  122. INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_opt.dcp' has been generated.
  123. INFO: [runtcl-4] Executing : report_drc -file fixedPointTest_drc_opted.rpt -pb fixedPointTest_drc_opted.pb -rpx fixedPointTest_drc_opted.rpx
  124. Command: report_drc -file fixedPointTest_drc_opted.rpt -pb fixedPointTest_drc_opted.pb -rpx fixedPointTest_drc_opted.rpx
  125. INFO: [IP_Flow 19-234] Refreshing IP repositories
  126. INFO: [IP_Flow 19-1704] No user IP repositories specified
  127. INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2021.2/data/ip'.
  128. INFO: [Timing 38-35] Done setting XDC timing constraints.
  129. INFO: [DRC 23-27] Running DRC with 2 threads
  130. INFO: [Vivado_Tcl 2-168] The results of DRC are in file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_opted.rpt.
  131. report_drc completed successfully
  132. Command: place_design
  133. Attempting to get a license for feature 'Implementation' and/or device 'xc7z010'
  134. INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010'
  135. INFO: [DRC 23-27] Running DRC with 2 threads
  136. INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
  137. INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
  138. Running DRC as a precondition to command place_design
  139. INFO: [DRC 23-27] Running DRC with 2 threads
  140. INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
  141. INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
  142. Starting Placer Task
  143. INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs
  144. Phase 1 Placer Initialization
  145. Phase 1.1 Placer Initialization Netlist Sorting
  146. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1722.266 ; gain = 0.000
  147. Phase 1.1 Placer Initialization Netlist Sorting | Checksum: c5371e47
  148. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.006 . Memory (MB): peak = 1722.266 ; gain = 0.000
  149. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1722.266 ; gain = 0.000
  150. Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device
  151. Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 170083491
  152. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.141 . Memory (MB): peak = 1722.266 ; gain = 0.000
  153. Phase 1.3 Build Placer Netlist Model
  154. Phase 1.3 Build Placer Netlist Model | Checksum: 1e3fab18a
  155. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.149 . Memory (MB): peak = 1722.266 ; gain = 0.000
  156. Phase 1.4 Constrain Clocks/Macros
  157. Phase 1.4 Constrain Clocks/Macros | Checksum: 1e3fab18a
  158. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.150 . Memory (MB): peak = 1722.266 ; gain = 0.000
  159. Phase 1 Placer Initialization | Checksum: 1e3fab18a
  160. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.152 . Memory (MB): peak = 1722.266 ; gain = 0.000
  161. Phase 2 Global Placement
  162. Phase 2.1 Floorplanning
  163. Phase 2.1 Floorplanning | Checksum: 1e3fab18a
  164. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.153 . Memory (MB): peak = 1722.266 ; gain = 0.000
  165. Phase 2.2 Update Timing before SLR Path Opt
  166. Phase 2.2 Update Timing before SLR Path Opt | Checksum: 1e3fab18a
  167. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.153 . Memory (MB): peak = 1722.266 ; gain = 0.000
  168. Phase 2.3 Post-Processing in Floorplanning
  169. Phase 2.3 Post-Processing in Floorplanning | Checksum: 1e3fab18a
  170. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.154 . Memory (MB): peak = 1722.266 ; gain = 0.000
  171. Phase 2.4 Global Placement Core
  172. WARNING: [Place 46-29] place_design is not in timing mode. Skip physical synthesis in placer
  173. Phase 2.4 Global Placement Core | Checksum: 17701980b
  174. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.691 . Memory (MB): peak = 1722.266 ; gain = 0.000
  175. Phase 2 Global Placement | Checksum: 17701980b
  176. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.693 . Memory (MB): peak = 1722.266 ; gain = 0.000
  177. Phase 3 Detail Placement
  178. Phase 3.1 Commit Multi Column Macros
  179. Phase 3.1 Commit Multi Column Macros | Checksum: 17701980b
  180. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.694 . Memory (MB): peak = 1722.266 ; gain = 0.000
  181. Phase 3.2 Commit Most Macros & LUTRAMs
  182. Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 236ae8e9c
  183. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.699 . Memory (MB): peak = 1722.266 ; gain = 0.000
  184. Phase 3.3 Area Swap Optimization
  185. Phase 3.3 Area Swap Optimization | Checksum: 236ae8e9c
  186. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.707 . Memory (MB): peak = 1722.266 ; gain = 0.000
  187. Phase 3.4 Pipeline Register Optimization
  188. Phase 3.4 Pipeline Register Optimization | Checksum: 236ae8e9c
  189. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.708 . Memory (MB): peak = 1722.266 ; gain = 0.000
  190. Phase 3.5 Small Shape Detail Placement
  191. Phase 3.5 Small Shape Detail Placement | Checksum: 236ae8e9c
  192. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.748 . Memory (MB): peak = 1722.266 ; gain = 0.000
  193. Phase 3.6 Re-assign LUT pins
  194. Phase 3.6 Re-assign LUT pins | Checksum: 236ae8e9c
  195. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.751 . Memory (MB): peak = 1722.266 ; gain = 0.000
  196. Phase 3.7 Pipeline Register Optimization
  197. Phase 3.7 Pipeline Register Optimization | Checksum: 236ae8e9c
  198. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.751 . Memory (MB): peak = 1722.266 ; gain = 0.000
  199. Phase 3 Detail Placement | Checksum: 236ae8e9c
  200. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.752 . Memory (MB): peak = 1722.266 ; gain = 0.000
  201. Phase 4 Post Placement Optimization and Clean-Up
  202. Phase 4.1 Post Commit Optimization
  203. Phase 4.1 Post Commit Optimization | Checksum: 236ae8e9c
  204. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.771 . Memory (MB): peak = 1722.266 ; gain = 0.000
  205. Phase 4.2 Post Placement Cleanup
  206. Phase 4.2 Post Placement Cleanup | Checksum: 236ae8e9c
  207. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.773 . Memory (MB): peak = 1722.266 ; gain = 0.000
  208. Phase 4.3 Placer Reporting
  209. Phase 4.3.1 Print Estimated Congestion
  210. INFO: [Place 30-612] Post-Placement Estimated Congestion
  211. ____________________________________________________
  212. | | Global Congestion | Short Congestion |
  213. | Direction | Region Size | Region Size |
  214. |___________|___________________|___________________|
  215. | North| 1x1| 1x1|
  216. |___________|___________________|___________________|
  217. | South| 1x1| 1x1|
  218. |___________|___________________|___________________|
  219. | East| 1x1| 1x1|
  220. |___________|___________________|___________________|
  221. | West| 1x1| 1x1|
  222. |___________|___________________|___________________|
  223. Phase 4.3.1 Print Estimated Congestion | Checksum: 236ae8e9c
  224. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.774 . Memory (MB): peak = 1722.266 ; gain = 0.000
  225. Phase 4.3 Placer Reporting | Checksum: 236ae8e9c
  226. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.775 . Memory (MB): peak = 1722.266 ; gain = 0.000
  227. Phase 4.4 Final Placement Cleanup
  228. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1722.266 ; gain = 0.000
  229. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.775 . Memory (MB): peak = 1722.266 ; gain = 0.000
  230. Phase 4 Post Placement Optimization and Clean-Up | Checksum: 236ae8e9c
  231. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.776 . Memory (MB): peak = 1722.266 ; gain = 0.000
  232. Ending Placer Task | Checksum: 1c0019b8b
  233. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.776 . Memory (MB): peak = 1722.266 ; gain = 0.000
  234. INFO: [Common 17-83] Releasing license: Implementation
  235. 43 Infos, 8 Warnings, 7 Critical Warnings and 0 Errors encountered.
  236. place_design completed successfully
  237. INFO: [Timing 38-480] Writing timing data to binary archive.
  238. Writing placer database...
  239. Writing XDEF routing.
  240. Writing XDEF routing logical nets.
  241. Writing XDEF routing special nets.
  242. Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.057 . Memory (MB): peak = 1722.266 ; gain = 0.000
  243. INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_placed.dcp' has been generated.
  244. INFO: [runtcl-4] Executing : report_io -file fixedPointTest_io_placed.rpt
  245. report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.067 . Memory (MB): peak = 1722.266 ; gain = 0.000
  246. INFO: [runtcl-4] Executing : report_utilization -file fixedPointTest_utilization_placed.rpt -pb fixedPointTest_utilization_placed.pb
  247. INFO: [runtcl-4] Executing : report_control_sets -verbose -file fixedPointTest_control_sets_placed.rpt
  248. report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1722.266 ; gain = 0.000
  249. Command: phys_opt_design
  250. Attempting to get a license for feature 'Implementation' and/or device 'xc7z010'
  251. INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010'
  252. INFO: [Vivado_Tcl 4-235] No timing constraint found. The netlist was not modified.
  253. INFO: [Common 17-83] Releasing license: Implementation
  254. 51 Infos, 8 Warnings, 7 Critical Warnings and 0 Errors encountered.
  255. phys_opt_design completed successfully
  256. INFO: [Timing 38-480] Writing timing data to binary archive.
  257. Writing placer database...
  258. Writing XDEF routing.
  259. Writing XDEF routing logical nets.
  260. Writing XDEF routing special nets.
  261. Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.048 . Memory (MB): peak = 1722.266 ; gain = 0.000
  262. INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_physopt.dcp' has been generated.
  263. Command: route_design
  264. Attempting to get a license for feature 'Implementation' and/or device 'xc7z010'
  265. INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010'
  266. Running DRC as a precondition to command route_design
  267. INFO: [DRC 23-27] Running DRC with 2 threads
  268. INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
  269. INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
  270. Starting Routing Task
  271. INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs
  272. Phase 1 Build RT Design
  273. Checksum: PlaceDB: faca7d44 ConstDB: 0 ShapeSum: c5371e47 RouteDB: 0
  274. Post Restoration Checksum: NetGraph: 54150718 NumContArr: b3d68f27 Constraints: 0 Timing: 0
  275. Phase 1 Build RT Design | Checksum: 107eb963f
  276. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1757.188 ; gain = 23.668
  277. Phase 2 Router Initialization
  278. INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode.
  279. Phase 2.1 Fix Topology Constraints
  280. Phase 2.1 Fix Topology Constraints | Checksum: 107eb963f
  281. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1762.219 ; gain = 28.699
  282. Phase 2.2 Pre Route Cleanup
  283. Phase 2.2 Pre Route Cleanup | Checksum: 107eb963f
  284. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1762.219 ; gain = 28.699
  285. Number of Nodes with overlaps = 0
  286. Router Utilization Summary
  287. Global Vertical Routing Utilization = 0 %
  288. Global Horizontal Routing Utilization = 0 %
  289. Routable Net Status*
  290. *Does not include unroutable nets such as driverless and loadless.
  291. Run report_route_status for detailed report.
  292. Number of Failed Nets = 46
  293. (Failed Nets is the sum of unrouted and partially routed nets)
  294. Number of Unrouted Nets = 46
  295. Number of Partially Routed Nets = 0
  296. Number of Node Overlaps = 0
  297. Phase 2 Router Initialization | Checksum: d7f76c92
  298. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805
  299. Phase 3 Initial Routing
  300. Phase 3.1 Global Routing
  301. Phase 3.1 Global Routing | Checksum: d7f76c92
  302. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805
  303. Phase 3 Initial Routing | Checksum: aa1bb3ea
  304. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805
  305. Phase 4 Rip-up And Reroute
  306. Phase 4.1 Global Iteration 0
  307. Number of Nodes with overlaps = 0
  308. Phase 4.1 Global Iteration 0 | Checksum: 1a2c45faa
  309. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805
  310. Phase 4 Rip-up And Reroute | Checksum: 1a2c45faa
  311. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805
  312. Phase 5 Delay and Skew Optimization
  313. Phase 5 Delay and Skew Optimization | Checksum: 1a2c45faa
  314. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805
  315. Phase 6 Post Hold Fix
  316. Phase 6.1 Hold Fix Iter
  317. Phase 6.1 Hold Fix Iter | Checksum: 1a2c45faa
  318. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805
  319. Phase 6 Post Hold Fix | Checksum: 1a2c45faa
  320. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805
  321. Phase 7 Route finalize
  322. Router Utilization Summary
  323. Global Vertical Routing Utilization = 0.0663007 %
  324. Global Horizontal Routing Utilization = 0.0248162 %
  325. Routable Net Status*
  326. *Does not include unroutable nets such as driverless and loadless.
  327. Run report_route_status for detailed report.
  328. Number of Failed Nets = 0
  329. (Failed Nets is the sum of unrouted and partially routed nets)
  330. Number of Unrouted Nets = 0
  331. Number of Partially Routed Nets = 0
  332. Number of Node Overlaps = 0
  333. Congestion Report
  334. North Dir 1x1 Area, Max Cong = 5.40541%, No Congested Regions.
  335. South Dir 1x1 Area, Max Cong = 17.1171%, No Congested Regions.
  336. East Dir 1x1 Area, Max Cong = 8.82353%, No Congested Regions.
  337. West Dir 1x1 Area, Max Cong = 7.35294%, No Congested Regions.
  338. ------------------------------
  339. Reporting congestion hotspots
  340. ------------------------------
  341. Direction: North
  342. ----------------
  343. Congested clusters found at Level 0
  344. Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0
  345. Direction: South
  346. ----------------
  347. Congested clusters found at Level 0
  348. Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0
  349. Direction: East
  350. ----------------
  351. Congested clusters found at Level 0
  352. Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0
  353. Direction: West
  354. ----------------
  355. Congested clusters found at Level 0
  356. Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0
  357. Phase 7 Route finalize | Checksum: 1a2c45faa
  358. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805
  359. Phase 8 Verifying routed nets
  360. Verification completed successfully
  361. Phase 8 Verifying routed nets | Checksum: 1a2c45faa
  362. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1765.430 ; gain = 31.910
  363. Phase 9 Depositing Routes
  364. Phase 9 Depositing Routes | Checksum: 156fe757f
  365. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1765.430 ; gain = 31.910
  366. INFO: [Route 35-16] Router Completed Successfully
  367. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1765.430 ; gain = 31.910
  368. Routing Is Done.
  369. INFO: [Common 17-83] Releasing license: Implementation
  370. 61 Infos, 8 Warnings, 7 Critical Warnings and 0 Errors encountered.
  371. route_design completed successfully
  372. route_design: Time (s): cpu = 00:00:16 ; elapsed = 00:00:14 . Memory (MB): peak = 1765.430 ; gain = 43.164
  373. INFO: [Timing 38-480] Writing timing data to binary archive.
  374. Writing placer database...
  375. Writing XDEF routing.
  376. Writing XDEF routing logical nets.
  377. Writing XDEF routing special nets.
  378. Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.049 . Memory (MB): peak = 1775.246 ; gain = 9.816
  379. INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_routed.dcp' has been generated.
  380. INFO: [runtcl-4] Executing : report_drc -file fixedPointTest_drc_routed.rpt -pb fixedPointTest_drc_routed.pb -rpx fixedPointTest_drc_routed.rpx
  381. Command: report_drc -file fixedPointTest_drc_routed.rpt -pb fixedPointTest_drc_routed.pb -rpx fixedPointTest_drc_routed.rpx
  382. INFO: [IP_Flow 19-1839] IP Catalog is up to date.
  383. INFO: [DRC 23-27] Running DRC with 2 threads
  384. INFO: [Vivado_Tcl 2-168] The results of DRC are in file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_routed.rpt.
  385. report_drc completed successfully
  386. INFO: [runtcl-4] Executing : report_methodology -file fixedPointTest_methodology_drc_routed.rpt -pb fixedPointTest_methodology_drc_routed.pb -rpx fixedPointTest_methodology_drc_routed.rpx
  387. Command: report_methodology -file fixedPointTest_methodology_drc_routed.rpt -pb fixedPointTest_methodology_drc_routed.pb -rpx fixedPointTest_methodology_drc_routed.rpx
  388. INFO: [Timing 38-35] Done setting XDC timing constraints.
  389. INFO: [DRC 23-133] Running Methodology with 2 threads
  390. INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_methodology_drc_routed.rpt.
  391. report_methodology completed successfully
  392. INFO: [runtcl-4] Executing : report_power -file fixedPointTest_power_routed.rpt -pb fixedPointTest_power_summary_routed.pb -rpx fixedPointTest_power_routed.rpx
  393. Command: report_power -file fixedPointTest_power_routed.rpt -pb fixedPointTest_power_summary_routed.pb -rpx fixedPointTest_power_routed.rpx
  394. INFO: [Timing 38-35] Done setting XDC timing constraints.
  395. WARNING: [Power 33-232] No user defined clocks were found in the design! Power estimation will be inaccurate until this is corrected.
  396. Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate
  397. Running Vector-less Activity Propagation...
  398. Finished Running Vector-less Activity Propagation
  399. 73 Infos, 9 Warnings, 7 Critical Warnings and 0 Errors encountered.
  400. report_power completed successfully
  401. INFO: [runtcl-4] Executing : report_route_status -file fixedPointTest_route_status.rpt -pb fixedPointTest_route_status.pb
  402. INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -report_unconstrained -file fixedPointTest_timing_summary_routed.rpt -pb fixedPointTest_timing_summary_routed.pb -rpx fixedPointTest_timing_summary_routed.rpx -warn_on_violation
  403. INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max.
  404. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs
  405. WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis.
  406. INFO: [runtcl-4] Executing : report_incremental_reuse -file fixedPointTest_incremental_reuse_routed.rpt
  407. INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report.
  408. INFO: [runtcl-4] Executing : report_clock_utilization -file fixedPointTest_clock_utilization_routed.rpt
  409. INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file fixedPointTest_bus_skew_routed.rpt -pb fixedPointTest_bus_skew_routed.pb -rpx fixedPointTest_bus_skew_routed.rpx
  410. INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max.
  411. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs
  412. INFO: [Common 17-206] Exiting Vivado at Fri May 13 14:42:11 2022...
  413. *** Running vivado
  414. with args -log fixedPointTest.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source fixedPointTest.tcl -notrace
  415. ****** Vivado v2021.2 (64-bit)
  416. **** SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021
  417. **** IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021
  418. ** Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
  419. source fixedPointTest.tcl -notrace
  420. Command: open_checkpoint fixedPointTest_routed.dcp
  421. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.057 . Memory (MB): peak = 1251.590 ; gain = 0.000
  422. INFO: [Device 21-403] Loading part xc7z010clg400-1
  423. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1251.590 ; gain = 0.000
  424. INFO: [Netlist 29-17] Analyzing 4 Unisim elements for replacement
  425. INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
  426. INFO: [Project 1-479] Netlist was created with Vivado 2021.2
  427. INFO: [Project 1-570] Preparing netlist for logic optimization
  428. INFO: [Timing 38-478] Restoring timing data from binary archive.
  429. INFO: [Timing 38-479] Binary timing data restore complete.
  430. INFO: [Project 1-856] Restoring constraints from binary archive.
  431. INFO: [Project 1-853] Binary constraint restore complete.
  432. Reading XDEF placement.
  433. Reading placer database...
  434. Reading XDEF routing.
  435. Read XDEF File: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.114 . Memory (MB): peak = 1387.207 ; gain = 17.668
  436. Restored from archive | CPU: 0.000000 secs | Memory: 0.000000 MB |
  437. Finished XDEF File Restore: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.114 . Memory (MB): peak = 1387.207 ; gain = 17.668
  438. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1387.207 ; gain = 0.000
  439. INFO: [Project 1-111] Unisim Transformation Summary:
  440. No Unisim elements were transformed.
  441. INFO: [Project 1-604] Checkpoint was created with Vivado v2021.2 (64-bit) build 3367213
  442. open_checkpoint: Time (s): cpu = 00:00:22 ; elapsed = 00:00:23 . Memory (MB): peak = 1387.207 ; gain = 135.617
  443. Command: write_bitstream -force fixedPointTest.bit
  444. Attempting to get a license for feature 'Implementation' and/or device 'xc7z010'
  445. INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010'
  446. Running DRC as a precondition to command write_bitstream
  447. INFO: [IP_Flow 19-234] Refreshing IP repositories
  448. INFO: [IP_Flow 19-1704] No user IP repositories specified
  449. INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2021.2/data/ip'.
  450. INFO: [DRC 23-27] Running DRC with 2 threads
  451. ERROR: [DRC NSTD-1] Unspecified I/O Standard: 43 out of 43 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: a[7:-6], b[7:-6], and c[8:-6].
  452. ERROR: [DRC UCIO-1] Unconstrained Logical Port: 43 out of 43 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: a[7:-6], b[7:-6], and c[8:-6].
  453. WARNING: [DRC ZPS7-1] PS7 block required: The PS7 cell must be used in this Zynq design in order to enable correct default configuration.
  454. INFO: [Vivado 12-3199] DRC finished with 2 Errors, 1 Warnings
  455. INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information.
  456. ERROR: [Vivado 12-1345] Error(s) found during DRC. Bitgen not run.
  457. INFO: [Common 17-83] Releasing license: Implementation
  458. 19 Infos, 1 Warnings, 0 Critical Warnings and 3 Errors encountered.
  459. write_bitstream failed
  460. ERROR: [Common 17-39] 'write_bitstream' failed due to earlier errors.
  461. INFO: [Common 17-206] Exiting Vivado at Fri May 13 14:43:07 2022...