You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

vivado_10280.backup.jou 2.2KB

123456789101112131415161718192021222324252627282930313233343536373839404142
  1. #-----------------------------------------------------------
  2. # Vivado v2021.2 (64-bit)
  3. # SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021
  4. # IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021
  5. # Start of session at: Tue Mar 29 10:43:14 2022
  6. # Process ID: 10280
  7. # Current directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test
  8. # Command line: vivado.exe -gui_launcher_event rodinguilauncherevent18072 C:\Users\Felix\OneDrive\Master\Projektarbeit_FPGA\Programme\Coraz7_Test\Coraz7_Test.xpr
  9. # Log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/vivado.log
  10. # Journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test\vivado.jou
  11. # Running On: DESKTOP-PAACOM8, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 16927 MB
  12. #-----------------------------------------------------------
  13. start_gui
  14. open_project C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.xpr
  15. update_compile_order -fileset sources_1
  16. launch_simulation
  17. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/pwm_test_db_func_synth.wcfg
  18. source pwm_test_db.tcl
  19. reset_run synth_1
  20. launch_runs synth_1 -jobs 6
  21. wait_on_run synth_1
  22. open_run synth_1 -name synth_1
  23. set_property IOSTANDARD LVCMOS33 [get_ports [list clk]]
  24. save_constraints
  25. launch_runs impl_1 -jobs 6
  26. wait_on_run impl_1
  27. launch_runs impl_1 -to_step write_bitstream -jobs 6
  28. wait_on_run impl_1
  29. close_design
  30. open_run impl_1
  31. open_hw_manager
  32. connect_hw_server -allow_non_jtag
  33. open_hw_target
  34. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  35. current_hw_device [get_hw_devices xc7z010_1]
  36. refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7z010_1] 0]
  37. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  38. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  39. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  40. program_hw_devices [get_hw_devices xc7z010_1]
  41. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]
  42. close_sim