You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

fixedPointTest.vds 19KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231
  1. #-----------------------------------------------------------
  2. # Vivado v2021.2 (64-bit)
  3. # SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021
  4. # IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021
  5. # Start of session at: Mon May 16 13:47:39 2022
  6. # Process ID: 13868
  7. # Current directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1
  8. # Command line: vivado.exe -log fixedPointTest.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source fixedPointTest.tcl
  9. # Log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/fixedPointTest.vds
  10. # Journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1\vivado.jou
  11. # Running On: DESKTOP-PAACOM8, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 16927 MB
  12. #-----------------------------------------------------------
  13. source fixedPointTest.tcl -notrace
  14. create_project: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1261.980 ; gain = 10.180
  15. Command: read_checkpoint -auto_incremental -incremental C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/utils_1/imports/synth_1/fixedPointTest.dcp
  16. INFO: [Vivado 12-5825] Read reference checkpoint from C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/utils_1/imports/synth_1/fixedPointTest.dcp for incremental synthesis
  17. INFO: [Vivado 12-7989] Please ensure there are no constraint changes
  18. Command: synth_design -top fixedPointTest -part xc7z010clg400-1
  19. Starting synth_design
  20. Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010'
  21. INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010'
  22. INFO: [Device 21-403] Loading part xc7z010clg400-1
  23. WARNING: [Designutils 20-4072] Reference run did not run incremental synthesis because the design is too small; reverting to default synthesis
  24. INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate}
  25. INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 2 processes.
  26. INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes
  27. INFO: [Synth 8-7075] Helper process launched with PID 9900
  28. ---------------------------------------------------------------------------------
  29. Starting RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1261.980 ; gain = 0.000
  30. ---------------------------------------------------------------------------------
  31. INFO: [Synth 8-638] synthesizing module 'fixedPointTest' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/sources_1/new/fixedPointTest.vhd:44]
  32. INFO: [Synth 8-256] done synthesizing module 'fixedPointTest' (1#1) [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/sources_1/new/fixedPointTest.vhd:44]
  33. ---------------------------------------------------------------------------------
  34. Finished RTL Elaboration : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 1261.980 ; gain = 0.000
  35. ---------------------------------------------------------------------------------
  36. ---------------------------------------------------------------------------------
  37. Start Handling Custom Attributes
  38. ---------------------------------------------------------------------------------
  39. ---------------------------------------------------------------------------------
  40. Finished Handling Custom Attributes : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 1261.980 ; gain = 0.000
  41. ---------------------------------------------------------------------------------
  42. ---------------------------------------------------------------------------------
  43. Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 1261.980 ; gain = 0.000
  44. ---------------------------------------------------------------------------------
  45. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1261.980 ; gain = 0.000
  46. INFO: [Project 1-570] Preparing netlist for logic optimization
  47. Processing XDC Constraints
  48. Initializing timing engine
  49. Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc]
  50. WARNING: [Vivado 12-584] No ports matched 'clk'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:7]
  51. CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:7]
  52. Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
  53. Finished Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc]
  54. Completed Processing XDC Constraints
  55. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1261.980 ; gain = 0.000
  56. INFO: [Project 1-111] Unisim Transformation Summary:
  57. No Unisim elements were transformed.
  58. Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1261.980 ; gain = 0.000
  59. WARNING: [Designutils 20-4072] Reference run did not run incremental synthesis because the design is too small; reverting to default synthesis
  60. INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate}
  61. ---------------------------------------------------------------------------------
  62. Finished Constraint Validation : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1261.980 ; gain = 0.000
  63. ---------------------------------------------------------------------------------
  64. ---------------------------------------------------------------------------------
  65. Start Loading Part and Timing Information
  66. ---------------------------------------------------------------------------------
  67. Loading part: xc7z010clg400-1
  68. ---------------------------------------------------------------------------------
  69. Finished Loading Part and Timing Information : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1261.980 ; gain = 0.000
  70. ---------------------------------------------------------------------------------
  71. ---------------------------------------------------------------------------------
  72. Start Applying 'set_property' XDC Constraints
  73. ---------------------------------------------------------------------------------
  74. ---------------------------------------------------------------------------------
  75. Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1261.980 ; gain = 0.000
  76. ---------------------------------------------------------------------------------
  77. ---------------------------------------------------------------------------------
  78. Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1261.980 ; gain = 0.000
  79. ---------------------------------------------------------------------------------
  80. ---------------------------------------------------------------------------------
  81. Start RTL Component Statistics
  82. ---------------------------------------------------------------------------------
  83. Detailed RTL Component Info :
  84. ---------------------------------------------------------------------------------
  85. Finished RTL Component Statistics
  86. ---------------------------------------------------------------------------------
  87. ---------------------------------------------------------------------------------
  88. Start Part Resource Summary
  89. ---------------------------------------------------------------------------------
  90. Part Resources:
  91. DSPs: 80 (col length:40)
  92. BRAMs: 120 (col length: RAMB18 40 RAMB36 20)
  93. ---------------------------------------------------------------------------------
  94. Finished Part Resource Summary
  95. ---------------------------------------------------------------------------------
  96. ---------------------------------------------------------------------------------
  97. Start Cross Boundary and Area Optimization
  98. ---------------------------------------------------------------------------------
  99. WARNING: [Synth 8-7080] Parallel synthesis criteria is not met
  100. DSP Report: Generating DSP arg, operation Mode is: A*B.
  101. DSP Report: operator arg is absorbed into DSP arg.
  102. ---------------------------------------------------------------------------------
  103. Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:21 ; elapsed = 00:00:21 . Memory (MB): peak = 1261.980 ; gain = 0.000
  104. ---------------------------------------------------------------------------------
  105. ---------------------------------------------------------------------------------
  106. Start ROM, RAM, DSP, Shift Register and Retiming Reporting
  107. ---------------------------------------------------------------------------------
  108. DSP: Preliminary Mapping Report (see note below. The ' indicates corresponding REG is set)
  109. +---------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
  110. |Module Name | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG |
  111. +---------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
  112. |fixedPointTest | A*B | 14 | 14 | - | - | 28 | 0 | 0 | - | - | - | 0 | 0 |
  113. +---------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
  114. Note: The table above is a preliminary report that shows the DSPs inferred at the current stage of the synthesis flow. Some DSP may be reimplemented as non DSP primitives later in the synthesis flow. Multiple instantiated DSPs are reported only once.
  115. ---------------------------------------------------------------------------------
  116. Finished ROM, RAM, DSP, Shift Register and Retiming Reporting
  117. ---------------------------------------------------------------------------------
  118. ---------------------------------------------------------------------------------
  119. Start Applying XDC Timing Constraints
  120. ---------------------------------------------------------------------------------
  121. ---------------------------------------------------------------------------------
  122. Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:33 ; elapsed = 00:00:34 . Memory (MB): peak = 1277.898 ; gain = 15.918
  123. ---------------------------------------------------------------------------------
  124. ---------------------------------------------------------------------------------
  125. Start Timing Optimization
  126. ---------------------------------------------------------------------------------
  127. ---------------------------------------------------------------------------------
  128. Finished Timing Optimization : Time (s): cpu = 00:00:33 ; elapsed = 00:00:34 . Memory (MB): peak = 1277.898 ; gain = 15.918
  129. ---------------------------------------------------------------------------------
  130. ---------------------------------------------------------------------------------
  131. Start Technology Mapping
  132. ---------------------------------------------------------------------------------
  133. ---------------------------------------------------------------------------------
  134. Finished Technology Mapping : Time (s): cpu = 00:00:34 ; elapsed = 00:00:34 . Memory (MB): peak = 1297.012 ; gain = 35.031
  135. ---------------------------------------------------------------------------------
  136. ---------------------------------------------------------------------------------
  137. Start IO Insertion
  138. ---------------------------------------------------------------------------------
  139. ---------------------------------------------------------------------------------
  140. Start Flattening Before IO Insertion
  141. ---------------------------------------------------------------------------------
  142. ---------------------------------------------------------------------------------
  143. Finished Flattening Before IO Insertion
  144. ---------------------------------------------------------------------------------
  145. ---------------------------------------------------------------------------------
  146. Start Final Netlist Cleanup
  147. ---------------------------------------------------------------------------------
  148. ---------------------------------------------------------------------------------
  149. Finished Final Netlist Cleanup
  150. ---------------------------------------------------------------------------------
  151. ---------------------------------------------------------------------------------
  152. Finished IO Insertion : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758
  153. ---------------------------------------------------------------------------------
  154. ---------------------------------------------------------------------------------
  155. Start Renaming Generated Instances
  156. ---------------------------------------------------------------------------------
  157. ---------------------------------------------------------------------------------
  158. Finished Renaming Generated Instances : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758
  159. ---------------------------------------------------------------------------------
  160. ---------------------------------------------------------------------------------
  161. Start Rebuilding User Hierarchy
  162. ---------------------------------------------------------------------------------
  163. ---------------------------------------------------------------------------------
  164. Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758
  165. ---------------------------------------------------------------------------------
  166. ---------------------------------------------------------------------------------
  167. Start Renaming Generated Ports
  168. ---------------------------------------------------------------------------------
  169. ---------------------------------------------------------------------------------
  170. Finished Renaming Generated Ports : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758
  171. ---------------------------------------------------------------------------------
  172. ---------------------------------------------------------------------------------
  173. Start Handling Custom Attributes
  174. ---------------------------------------------------------------------------------
  175. ---------------------------------------------------------------------------------
  176. Finished Handling Custom Attributes : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758
  177. ---------------------------------------------------------------------------------
  178. ---------------------------------------------------------------------------------
  179. Start Renaming Generated Nets
  180. ---------------------------------------------------------------------------------
  181. ---------------------------------------------------------------------------------
  182. Finished Renaming Generated Nets : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758
  183. ---------------------------------------------------------------------------------
  184. ---------------------------------------------------------------------------------
  185. Start Writing Synthesis Report
  186. ---------------------------------------------------------------------------------
  187. Report BlackBoxes:
  188. +-+--------------+----------+
  189. | |BlackBox name |Instances |
  190. +-+--------------+----------+
  191. +-+--------------+----------+
  192. Report Cell Usage:
  193. +------+--------+------+
  194. | |Cell |Count |
  195. +------+--------+------+
  196. |1 |DSP48E1 | 1|
  197. |2 |IBUF | 28|
  198. |3 |OBUF | 28|
  199. +------+--------+------+
  200. ---------------------------------------------------------------------------------
  201. Finished Writing Synthesis Report : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758
  202. ---------------------------------------------------------------------------------
  203. Synthesis finished with 0 errors, 0 critical warnings and 1 warnings.
  204. Synthesis Optimization Runtime : Time (s): cpu = 00:00:29 ; elapsed = 00:00:39 . Memory (MB): peak = 1303.738 ; gain = 41.758
  205. Synthesis Optimization Complete : Time (s): cpu = 00:00:40 ; elapsed = 00:00:41 . Memory (MB): peak = 1303.738 ; gain = 41.758
  206. INFO: [Project 1-571] Translating synthesized netlist
  207. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1315.801 ; gain = 0.000
  208. INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement
  209. INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
  210. INFO: [Project 1-570] Preparing netlist for logic optimization
  211. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
  212. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1322.461 ; gain = 0.000
  213. INFO: [Project 1-111] Unisim Transformation Summary:
  214. No Unisim elements were transformed.
  215. Synth Design complete, checksum: acd46f8c
  216. INFO: [Common 17-83] Releasing license: Synthesis
  217. 20 Infos, 4 Warnings, 1 Critical Warnings and 0 Errors encountered.
  218. synth_design completed successfully
  219. synth_design: Time (s): cpu = 00:00:45 ; elapsed = 00:00:47 . Memory (MB): peak = 1322.461 ; gain = 60.480
  220. INFO: [runtcl-6] Synthesis results are not added to the cache due to CRITICAL_WARNING
  221. INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/fixedPointTest.dcp' has been generated.
  222. INFO: [runtcl-4] Executing : report_utilization -file fixedPointTest_utilization_synth.rpt -pb fixedPointTest_utilization_synth.pb
  223. INFO: [Common 17-206] Exiting Vivado at Mon May 16 13:48:36 2022...