You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

runme.log 18KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230
  1. *** Running vivado
  2. with args -log fixedPointTest.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source fixedPointTest.tcl
  3. ****** Vivado v2021.2 (64-bit)
  4. **** SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021
  5. **** IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021
  6. ** Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
  7. source fixedPointTest.tcl -notrace
  8. create_project: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1261.980 ; gain = 10.180
  9. Command: read_checkpoint -auto_incremental -incremental C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/utils_1/imports/synth_1/fixedPointTest.dcp
  10. INFO: [Vivado 12-5825] Read reference checkpoint from C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/utils_1/imports/synth_1/fixedPointTest.dcp for incremental synthesis
  11. INFO: [Vivado 12-7989] Please ensure there are no constraint changes
  12. Command: synth_design -top fixedPointTest -part xc7z010clg400-1
  13. Starting synth_design
  14. Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010'
  15. INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010'
  16. INFO: [Device 21-403] Loading part xc7z010clg400-1
  17. WARNING: [Designutils 20-4072] Reference run did not run incremental synthesis because the design is too small; reverting to default synthesis
  18. INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate}
  19. INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 2 processes.
  20. INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes
  21. INFO: [Synth 8-7075] Helper process launched with PID 9900
  22. ---------------------------------------------------------------------------------
  23. Starting RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1261.980 ; gain = 0.000
  24. ---------------------------------------------------------------------------------
  25. INFO: [Synth 8-638] synthesizing module 'fixedPointTest' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/sources_1/new/fixedPointTest.vhd:44]
  26. INFO: [Synth 8-256] done synthesizing module 'fixedPointTest' (1#1) [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/sources_1/new/fixedPointTest.vhd:44]
  27. ---------------------------------------------------------------------------------
  28. Finished RTL Elaboration : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 1261.980 ; gain = 0.000
  29. ---------------------------------------------------------------------------------
  30. ---------------------------------------------------------------------------------
  31. Start Handling Custom Attributes
  32. ---------------------------------------------------------------------------------
  33. ---------------------------------------------------------------------------------
  34. Finished Handling Custom Attributes : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 1261.980 ; gain = 0.000
  35. ---------------------------------------------------------------------------------
  36. ---------------------------------------------------------------------------------
  37. Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 1261.980 ; gain = 0.000
  38. ---------------------------------------------------------------------------------
  39. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1261.980 ; gain = 0.000
  40. INFO: [Project 1-570] Preparing netlist for logic optimization
  41. Processing XDC Constraints
  42. Initializing timing engine
  43. Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc]
  44. WARNING: [Vivado 12-584] No ports matched 'clk'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:7]
  45. CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:7]
  46. Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
  47. Finished Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc]
  48. Completed Processing XDC Constraints
  49. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1261.980 ; gain = 0.000
  50. INFO: [Project 1-111] Unisim Transformation Summary:
  51. No Unisim elements were transformed.
  52. Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1261.980 ; gain = 0.000
  53. WARNING: [Designutils 20-4072] Reference run did not run incremental synthesis because the design is too small; reverting to default synthesis
  54. INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate}
  55. ---------------------------------------------------------------------------------
  56. Finished Constraint Validation : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1261.980 ; gain = 0.000
  57. ---------------------------------------------------------------------------------
  58. ---------------------------------------------------------------------------------
  59. Start Loading Part and Timing Information
  60. ---------------------------------------------------------------------------------
  61. Loading part: xc7z010clg400-1
  62. ---------------------------------------------------------------------------------
  63. Finished Loading Part and Timing Information : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1261.980 ; gain = 0.000
  64. ---------------------------------------------------------------------------------
  65. ---------------------------------------------------------------------------------
  66. Start Applying 'set_property' XDC Constraints
  67. ---------------------------------------------------------------------------------
  68. ---------------------------------------------------------------------------------
  69. Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1261.980 ; gain = 0.000
  70. ---------------------------------------------------------------------------------
  71. ---------------------------------------------------------------------------------
  72. Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1261.980 ; gain = 0.000
  73. ---------------------------------------------------------------------------------
  74. ---------------------------------------------------------------------------------
  75. Start RTL Component Statistics
  76. ---------------------------------------------------------------------------------
  77. Detailed RTL Component Info :
  78. ---------------------------------------------------------------------------------
  79. Finished RTL Component Statistics
  80. ---------------------------------------------------------------------------------
  81. ---------------------------------------------------------------------------------
  82. Start Part Resource Summary
  83. ---------------------------------------------------------------------------------
  84. Part Resources:
  85. DSPs: 80 (col length:40)
  86. BRAMs: 120 (col length: RAMB18 40 RAMB36 20)
  87. ---------------------------------------------------------------------------------
  88. Finished Part Resource Summary
  89. ---------------------------------------------------------------------------------
  90. ---------------------------------------------------------------------------------
  91. Start Cross Boundary and Area Optimization
  92. ---------------------------------------------------------------------------------
  93. WARNING: [Synth 8-7080] Parallel synthesis criteria is not met
  94. DSP Report: Generating DSP arg, operation Mode is: A*B.
  95. DSP Report: operator arg is absorbed into DSP arg.
  96. ---------------------------------------------------------------------------------
  97. Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:21 ; elapsed = 00:00:21 . Memory (MB): peak = 1261.980 ; gain = 0.000
  98. ---------------------------------------------------------------------------------
  99. ---------------------------------------------------------------------------------
  100. Start ROM, RAM, DSP, Shift Register and Retiming Reporting
  101. ---------------------------------------------------------------------------------
  102. DSP: Preliminary Mapping Report (see note below. The ' indicates corresponding REG is set)
  103. +---------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
  104. |Module Name | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG |
  105. +---------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
  106. |fixedPointTest | A*B | 14 | 14 | - | - | 28 | 0 | 0 | - | - | - | 0 | 0 |
  107. +---------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
  108. Note: The table above is a preliminary report that shows the DSPs inferred at the current stage of the synthesis flow. Some DSP may be reimplemented as non DSP primitives later in the synthesis flow. Multiple instantiated DSPs are reported only once.
  109. ---------------------------------------------------------------------------------
  110. Finished ROM, RAM, DSP, Shift Register and Retiming Reporting
  111. ---------------------------------------------------------------------------------
  112. ---------------------------------------------------------------------------------
  113. Start Applying XDC Timing Constraints
  114. ---------------------------------------------------------------------------------
  115. ---------------------------------------------------------------------------------
  116. Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:33 ; elapsed = 00:00:34 . Memory (MB): peak = 1277.898 ; gain = 15.918
  117. ---------------------------------------------------------------------------------
  118. ---------------------------------------------------------------------------------
  119. Start Timing Optimization
  120. ---------------------------------------------------------------------------------
  121. ---------------------------------------------------------------------------------
  122. Finished Timing Optimization : Time (s): cpu = 00:00:33 ; elapsed = 00:00:34 . Memory (MB): peak = 1277.898 ; gain = 15.918
  123. ---------------------------------------------------------------------------------
  124. ---------------------------------------------------------------------------------
  125. Start Technology Mapping
  126. ---------------------------------------------------------------------------------
  127. ---------------------------------------------------------------------------------
  128. Finished Technology Mapping : Time (s): cpu = 00:00:34 ; elapsed = 00:00:34 . Memory (MB): peak = 1297.012 ; gain = 35.031
  129. ---------------------------------------------------------------------------------
  130. ---------------------------------------------------------------------------------
  131. Start IO Insertion
  132. ---------------------------------------------------------------------------------
  133. ---------------------------------------------------------------------------------
  134. Start Flattening Before IO Insertion
  135. ---------------------------------------------------------------------------------
  136. ---------------------------------------------------------------------------------
  137. Finished Flattening Before IO Insertion
  138. ---------------------------------------------------------------------------------
  139. ---------------------------------------------------------------------------------
  140. Start Final Netlist Cleanup
  141. ---------------------------------------------------------------------------------
  142. ---------------------------------------------------------------------------------
  143. Finished Final Netlist Cleanup
  144. ---------------------------------------------------------------------------------
  145. ---------------------------------------------------------------------------------
  146. Finished IO Insertion : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758
  147. ---------------------------------------------------------------------------------
  148. ---------------------------------------------------------------------------------
  149. Start Renaming Generated Instances
  150. ---------------------------------------------------------------------------------
  151. ---------------------------------------------------------------------------------
  152. Finished Renaming Generated Instances : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758
  153. ---------------------------------------------------------------------------------
  154. ---------------------------------------------------------------------------------
  155. Start Rebuilding User Hierarchy
  156. ---------------------------------------------------------------------------------
  157. ---------------------------------------------------------------------------------
  158. Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758
  159. ---------------------------------------------------------------------------------
  160. ---------------------------------------------------------------------------------
  161. Start Renaming Generated Ports
  162. ---------------------------------------------------------------------------------
  163. ---------------------------------------------------------------------------------
  164. Finished Renaming Generated Ports : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758
  165. ---------------------------------------------------------------------------------
  166. ---------------------------------------------------------------------------------
  167. Start Handling Custom Attributes
  168. ---------------------------------------------------------------------------------
  169. ---------------------------------------------------------------------------------
  170. Finished Handling Custom Attributes : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758
  171. ---------------------------------------------------------------------------------
  172. ---------------------------------------------------------------------------------
  173. Start Renaming Generated Nets
  174. ---------------------------------------------------------------------------------
  175. ---------------------------------------------------------------------------------
  176. Finished Renaming Generated Nets : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758
  177. ---------------------------------------------------------------------------------
  178. ---------------------------------------------------------------------------------
  179. Start Writing Synthesis Report
  180. ---------------------------------------------------------------------------------
  181. Report BlackBoxes:
  182. +-+--------------+----------+
  183. | |BlackBox name |Instances |
  184. +-+--------------+----------+
  185. +-+--------------+----------+
  186. Report Cell Usage:
  187. +------+--------+------+
  188. | |Cell |Count |
  189. +------+--------+------+
  190. |1 |DSP48E1 | 1|
  191. |2 |IBUF | 28|
  192. |3 |OBUF | 28|
  193. +------+--------+------+
  194. ---------------------------------------------------------------------------------
  195. Finished Writing Synthesis Report : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758
  196. ---------------------------------------------------------------------------------
  197. Synthesis finished with 0 errors, 0 critical warnings and 1 warnings.
  198. Synthesis Optimization Runtime : Time (s): cpu = 00:00:29 ; elapsed = 00:00:39 . Memory (MB): peak = 1303.738 ; gain = 41.758
  199. Synthesis Optimization Complete : Time (s): cpu = 00:00:40 ; elapsed = 00:00:41 . Memory (MB): peak = 1303.738 ; gain = 41.758
  200. INFO: [Project 1-571] Translating synthesized netlist
  201. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1315.801 ; gain = 0.000
  202. INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement
  203. INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
  204. INFO: [Project 1-570] Preparing netlist for logic optimization
  205. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
  206. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1322.461 ; gain = 0.000
  207. INFO: [Project 1-111] Unisim Transformation Summary:
  208. No Unisim elements were transformed.
  209. Synth Design complete, checksum: acd46f8c
  210. INFO: [Common 17-83] Releasing license: Synthesis
  211. 20 Infos, 4 Warnings, 1 Critical Warnings and 0 Errors encountered.
  212. synth_design completed successfully
  213. synth_design: Time (s): cpu = 00:00:45 ; elapsed = 00:00:47 . Memory (MB): peak = 1322.461 ; gain = 60.480
  214. INFO: [runtcl-6] Synthesis results are not added to the cache due to CRITICAL_WARNING
  215. INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/fixedPointTest.dcp' has been generated.
  216. INFO: [runtcl-4] Executing : report_utilization -file fixedPointTest_utilization_synth.rpt -pb fixedPointTest_utilization_synth.pb
  217. INFO: [Common 17-206] Exiting Vivado at Mon May 16 13:48:36 2022...