Studentenversion des ESY6/A Praktikums "signal_processing".
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
urbaniakdo78593 b57abbe1ac SYSTEMENTWURF PR 1 3 months ago
hardware SYSTEMENTWURF PR 1 3 months ago
software/signal_processing SYSTEMENTWURF PR 1 3 months ago
tests Initial commit 6 months ago
.gitignore Initial commit 6 months ago
Makefile Initial commit 6 months ago
data_channel_hw.tcl Initial commit 6 months ago
hardware_task_hw.tcl Initial commit 6 months ago
hardware_timestamp_hw.tcl Initial commit 6 months ago
hdl_sources.qsf Initial commit 6 months ago
init.sh Initial commit 6 months ago
niosII.qsys Initial commit 6 months ago
signal_processing.cdf Initial commit 6 months ago
signal_processing.qpf Initial commit 6 months ago
signal_processing.qsf Initial commit 6 months ago