Projektdaten für das ESY1B Praktikum im Sommersemester 2022
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

tb_Fsm.sv 971B

12345678910111213141516171819202122232425262728293031323334353637383940414243444546474849505152
  1. `include "Clk_generator.sv"
  2. `include "Fsm.sv"
  3. module tb_Fsm;
  4. wire clk;
  5. logic inAlarmAmpel;
  6. logic inDataValid;
  7. logic inTasteAktiv;
  8. wire outAlarm_R;
  9. wire outSendData;
  10. wire outTimerEN;
  11. Clk_generator clk_gen(.clk(clk));
  12. Fsm myfsm
  13. (
  14. .clk(clk),
  15. .inAlarmAmpel(inAlarmAmpel),
  16. .inDataValid(inDataValid),
  17. .inTasteAktiv(inTasteAktiv),
  18. .outAlarm_R(outAlarm_R),
  19. .outSendData(outSendData),
  20. .outTimerEN(outTimerEN)
  21. );
  22. initial begin
  23. $dumpfile("tb_Fsm.vcd");
  24. $dumpvars(0, tb_Fsm);
  25. #50 $finish;
  26. end
  27. initial begin
  28. #0 inAlarmAmpel = 1'b0;
  29. #0 inDataValid = 1'b0;
  30. #0 inTasteAktiv = 1'b0;
  31. end
  32. initial begin
  33. #4 inAlarmAmpel = 1'b1;
  34. #5 inAlarmAmpel = 1'b0;
  35. #8 inDataValid = 1'b1;
  36. #9 inDataValid = 1'b0;
  37. #10 inTasteAktiv = 1'b1;
  38. #11 inTasteAktiv = 1'b0;
  39. end
  40. endmodule