Projektdaten für das ESY1B Praktikum im Sommersemester 2022
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
sessleral71711 200a989683 Added modport for timer 1 year ago
Bus_if Added modport for timer 1 year ago
Code_FRAM_Speicher FRAM 1 year ago
Source_Ampel Ampel 1 year ago
Source_FRAM_Controller FRAM 1 year ago
fsm FSM mit 2 States erstellt und getestet 1 year ago
timer_port Timer and Port source 1 year ago
BUS.PNG Dateien hochladen nach „“ 1 year ago
FM25CL64B.pdf Create FM25CL64B.pdf 1 year ago
FRAM Kommunikation.docx Dateien hochladen nach „“ 1 year ago
FRAM_Speicher-Modell.pdf Dateien hochladen nach „“ 1 year ago
Projekt_2022.docx Dateien hochladen nach „“ 1 year ago
SPI FRAM Controller.pdf Dateien hochladen nach „“ 1 year ago
spi_interface.v Dateien hochladen nach „“ 1 year ago