Projektdaten für das ESY1B Praktikum im Sommersemester 2022
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

Bus_if.sv 885B

123456789101112131415161718192021222324252627282930313233343536373839404142434445
  1. interface Bus_if (input clk);
  2. logic sbclk;
  3. logic sbstb;
  4. logic sbrw;
  5. logic[7:0] sbadr;
  6. logic[7:0] sbdat_r;
  7. logic[7:0] sbdat_w;
  8. logic sback;
  9. logic[9:0] Data;
  10. logic SendData;
  11. logic TimerMeas;
  12. logic DataValid;
  13. logic AlarmAmpel;
  14. logic TasteAktiv;
  15. logic Alarm_R;
  16. logic TimerEN;
  17. logic Taste;
  18. logic ReadTemp;
  19. logic LEDg;
  20. logic LEDr;
  21. modport Fsm (
  22. input clk,
  23. input AlarmAmpel,
  24. input DataValid,
  25. input TasteAktiv,
  26. output Alarm_R,
  27. output SendData,
  28. output TimerEN
  29. );
  30. modport timer (
  31. input clk,
  32. input Taste,
  33. input TimerEN,
  34. output ReadTemp,
  35. output TasteAktiv
  36. );
  37. /*
  38. module parallelport wird in top level design
  39. ohne modport verbunden, da inEndOfConv nicht
  40. Teil des Bus_if ist.
  41. */
  42. endinterface //Bus