Projektdaten für das ESY1B Praktikum im Sommersemester 2022
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

Top.sv 724B

12345678910111213141516171819202122232425262728293031323334
  1. `include "../spi_interface.v"
  2. `include "../fsm/Fsm.sv"
  3. <<<<<<< HEAD
  4. `include "../Bus_if/Bus_if.sv"
  5. =======
  6. >>>>>>> b8d834144be80086a32a76f1769deccce6eaee15
  7. module Top(
  8. input wire clk
  9. );
  10. // Bus (Interface)
  11. <<<<<<< HEAD
  12. Bus_if bus(.clk(clk));
  13. // SPI Interface
  14. // FSM
  15. Fsm fsm(
  16. .clk(clk),
  17. .inAlarmAmpel(bus.AlarmAmpel),
  18. .inDataValid(bus.DataValid),
  19. .inTasteAktiv(bus.TasteAktiv),
  20. .outAlarm_R(bus.Alarm_R),
  21. .outSendData(bus.SendData),
  22. .outTimerEN(bus.TimerEN)
  23. );
  24. =======
  25. // SPI Interface
  26. // FSM
  27. >>>>>>> b8d834144be80086a32a76f1769deccce6eaee15
  28. // Parallelport
  29. // FRAM-Controller
  30. // Timer
  31. // Ampelsteuerung
  32. endmodule