Projektdaten für das ESY1B Praktikum im Sommersemester 2022
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

Top.sv 237B

123456789101112131415
  1. `include "../spi_interface.v"
  2. `include "../fsm/Fsm.sv"
  3. module Top(
  4. input wire clk
  5. );
  6. // Bus (Interface)
  7. // SPI Interface
  8. // FSM
  9. // Parallelport
  10. // FRAM-Controller
  11. // Timer
  12. // Ampelsteuerung
  13. endmodule