You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

transcript 17KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495
  1. # // Questa Sim-64
  2. # // Version 2019.4 linux_x86_64 Oct 15 2019
  3. # //
  4. # // Copyright 1991-2019 Mentor Graphics Corporation
  5. # // All Rights Reserved.
  6. # //
  7. # // QuestaSim and its associated documentation contain trade
  8. # // secrets and commercial or financial information that are the property of
  9. # // Mentor Graphics Corporation and are privileged, confidential,
  10. # // and exempt from disclosure under the Freedom of Information Act,
  11. # // 5 U.S.C. Section 552. Furthermore, this information
  12. # // is prohibited from disclosure under the Trade Secrets Act,
  13. # // 18 U.S.C. Section 1905.
  14. # //
  15. do /users/ads1/muelleral82290/linux/Dokumente/esy_B/uebung_projekt/compilescripts/simulation/compile.tcl
  16. #
  17. # create workspace
  18. # QuestaSim-64 vmap 2019.4 Lib Mapping Utility 2019.10 Oct 15 2019
  19. # vmap work ./work
  20. # Modifying modelsim.ini
  21. #
  22. # Compile sv-Designfiles
  23. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  24. # Start time: 14:04:53 on Jun 15,2023
  25. # vlog -reportprogress 300 -work work ./hdl_src/sv/interface.sv
  26. # -- Compiling interface led_if
  27. # -- Compiling interface dip_if
  28. # -- Compiling interface fram_if
  29. # -- Compiling interface clock_if
  30. #
  31. # Top level modules:
  32. # --none--
  33. # End time: 14:04:53 on Jun 15,2023, Elapsed time: 0:00:00
  34. # Errors: 0, Warnings: 0
  35. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  36. # Start time: 14:04:53 on Jun 15,2023
  37. # vlog -reportprogress 300 -work work ./hdl_src/sv/stimuli.sv
  38. # -- Compiling module stimuli
  39. #
  40. # Top level modules:
  41. # stimuli
  42. # End time: 14:04:53 on Jun 15,2023, Elapsed time: 0:00:00
  43. # Errors: 0, Warnings: 0
  44. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  45. # Start time: 14:04:53 on Jun 15,2023
  46. # vlog -reportprogress 300 -work work ./hdl_src/sv/top_level.sv
  47. # -- Compiling module top
  48. # -- Compiling interface bus
  49. # -- Compiling module parallelport
  50. # -- Compiling module steuerung
  51. #
  52. # Top level modules:
  53. # top
  54. # End time: 14:04:53 on Jun 15,2023, Elapsed time: 0:00:00
  55. # Errors: 0, Warnings: 0
  56. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  57. # Start time: 14:04:53 on Jun 15,2023
  58. # vlog -reportprogress 300 -work work ./hdl_src/sv/top_tb.sv
  59. # -- Compiling module top_tb
  60. #
  61. # Top level modules:
  62. # top_tb
  63. # End time: 14:04:53 on Jun 15,2023, Elapsed time: 0:00:00
  64. # Errors: 0, Warnings: 0
  65. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  66. # Start time: 14:04:53 on Jun 15,2023
  67. # vlog -reportprogress 300 -work work ./hdl_src/sv/timer.sv
  68. # -- Compiling module timer
  69. #
  70. # Top level modules:
  71. # timer
  72. # End time: 14:04:53 on Jun 15,2023, Elapsed time: 0:00:00
  73. # Errors: 0, Warnings: 0
  74. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  75. # Start time: 14:04:53 on Jun 15,2023
  76. # vlog -reportprogress 300 -work work ./hdl_src/sv/SPI_Master_Control.sv
  77. # -- Compiling module SPI_Master
  78. #
  79. # Top level modules:
  80. # SPI_Master
  81. # End time: 14:04:53 on Jun 15,2023, Elapsed time: 0:00:00
  82. # Errors: 0, Warnings: 0
  83. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  84. # Start time: 14:04:53 on Jun 15,2023
  85. # vlog -reportprogress 300 -work work ./hdl_src/sv/SPI_Master.sv
  86. # -- Compiling module SPI_Master_With_Single_CS
  87. #
  88. # Top level modules:
  89. # SPI_Master_With_Single_CS
  90. # End time: 14:04:53 on Jun 15,2023, Elapsed time: 0:00:00
  91. # Errors: 0, Warnings: 0
  92. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  93. # Start time: 14:04:53 on Jun 15,2023
  94. # vlog -reportprogress 300 -work work ./hdl_src/sv/FRAM_Controller.sv
  95. # -- Compiling module FRAM
  96. #
  97. # Top level modules:
  98. # FRAM
  99. # End time: 14:04:53 on Jun 15,2023, Elapsed time: 0:00:00
  100. # Errors: 0, Warnings: 0
  101. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  102. # Start time: 14:04:53 on Jun 15,2023
  103. # vlog -reportprogress 300 -work work ./hdl_src/sv/fram.sv
  104. # -- Compiling module spi
  105. #
  106. # Top level modules:
  107. # spi
  108. # End time: 14:04:53 on Jun 15,2023, Elapsed time: 0:00:00
  109. # Errors: 0, Warnings: 0
  110. #
  111. # Run Simulation
  112. # vsim -cvg63 -voptargs=""+acc"" top_tb
  113. # Start time: 14:04:53 on Jun 15,2023
  114. # ** Note: (vsim-3812) Design is being optimized...
  115. # ** Note: (vopt-143) Recognized 1 FSM in module "SPI_Master_With_Single_CS(fast)".
  116. # Loading sv_std.std
  117. # Loading work.top_tb(fast)
  118. # Loading work.led_if(fast)
  119. # Loading work.dip_if(fast)
  120. # Loading work.fram_if(fast)
  121. # Loading work.clock_if(fast)
  122. # Loading work.top(fast)
  123. # Loading work.bus(fast)
  124. # Loading work.timer(fast)
  125. # Loading work.steuerung(fast)
  126. # Loading work.spi(fast)
  127. # Loading work.FRAM(fast)
  128. # Loading work.SPI_Master_With_Single_CS(fast)
  129. # Loading work.SPI_Master(fast)
  130. # Loading work.parallelport(fast)
  131. # Loading work.stimuli(fast)
  132. do /users/ads1/muelleral82290/linux/Dokumente/esy_B/uebung_projekt/compilescripts/simulation/compile.tcl
  133. #
  134. # create workspace
  135. # ** Warning: (vdel-134) Unable to remove locked optimized design "_opt". Locker is muelleral82290@efiapps1.efi.fh-nuernberg.de.
  136. # ** Warning: (vlib-34) Library already exists at "work".
  137. # QuestaSim-64 vmap 2019.4 Lib Mapping Utility 2019.10 Oct 15 2019
  138. # vmap work ./work
  139. # Modifying modelsim.ini
  140. #
  141. # Compile sv-Designfiles
  142. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  143. # Start time: 14:11:54 on Jun 15,2023
  144. # vlog -reportprogress 300 -work work ./hdl_src/sv/interface.sv
  145. # -- Compiling interface led_if
  146. # -- Compiling interface dip_if
  147. # -- Compiling interface fram_if
  148. # -- Compiling interface clock_if
  149. #
  150. # Top level modules:
  151. # --none--
  152. # End time: 14:11:54 on Jun 15,2023, Elapsed time: 0:00:00
  153. # Errors: 0, Warnings: 0
  154. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  155. # Start time: 14:11:54 on Jun 15,2023
  156. # vlog -reportprogress 300 -work work ./hdl_src/sv/stimuli.sv
  157. # -- Compiling module stimuli
  158. #
  159. # Top level modules:
  160. # stimuli
  161. # End time: 14:11:54 on Jun 15,2023, Elapsed time: 0:00:00
  162. # Errors: 0, Warnings: 0
  163. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  164. # Start time: 14:11:54 on Jun 15,2023
  165. # vlog -reportprogress 300 -work work ./hdl_src/sv/top_level.sv
  166. # -- Compiling module top
  167. # -- Compiling interface bus
  168. # -- Compiling module parallelport
  169. # -- Compiling module steuerung
  170. #
  171. # Top level modules:
  172. # top
  173. # End time: 14:11:54 on Jun 15,2023, Elapsed time: 0:00:00
  174. # Errors: 0, Warnings: 0
  175. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  176. # Start time: 14:11:54 on Jun 15,2023
  177. # vlog -reportprogress 300 -work work ./hdl_src/sv/top_tb.sv
  178. # -- Compiling module top_tb
  179. #
  180. # Top level modules:
  181. # top_tb
  182. # End time: 14:11:54 on Jun 15,2023, Elapsed time: 0:00:00
  183. # Errors: 0, Warnings: 0
  184. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  185. # Start time: 14:11:54 on Jun 15,2023
  186. # vlog -reportprogress 300 -work work ./hdl_src/sv/timer.sv
  187. # -- Compiling module timer
  188. #
  189. # Top level modules:
  190. # timer
  191. # End time: 14:11:54 on Jun 15,2023, Elapsed time: 0:00:00
  192. # Errors: 0, Warnings: 0
  193. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  194. # Start time: 14:11:54 on Jun 15,2023
  195. # vlog -reportprogress 300 -work work ./hdl_src/sv/SPI_Master_Control.sv
  196. # -- Compiling module SPI_Master
  197. #
  198. # Top level modules:
  199. # SPI_Master
  200. # End time: 14:11:54 on Jun 15,2023, Elapsed time: 0:00:00
  201. # Errors: 0, Warnings: 0
  202. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  203. # Start time: 14:11:54 on Jun 15,2023
  204. # vlog -reportprogress 300 -work work ./hdl_src/sv/SPI_Master.sv
  205. # -- Compiling module SPI_Master_With_Single_CS
  206. #
  207. # Top level modules:
  208. # SPI_Master_With_Single_CS
  209. # End time: 14:11:54 on Jun 15,2023, Elapsed time: 0:00:00
  210. # Errors: 0, Warnings: 0
  211. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  212. # Start time: 14:11:54 on Jun 15,2023
  213. # vlog -reportprogress 300 -work work ./hdl_src/sv/FRAM_Controller.sv
  214. # -- Compiling module FRAM
  215. #
  216. # Top level modules:
  217. # FRAM
  218. # End time: 14:11:54 on Jun 15,2023, Elapsed time: 0:00:00
  219. # Errors: 0, Warnings: 0
  220. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  221. # Start time: 14:11:54 on Jun 15,2023
  222. # vlog -reportprogress 300 -work work ./hdl_src/sv/fram.sv
  223. # -- Compiling module spi
  224. #
  225. # Top level modules:
  226. # spi
  227. # End time: 14:11:54 on Jun 15,2023, Elapsed time: 0:00:00
  228. # Errors: 0, Warnings: 0
  229. #
  230. # Run Simulation
  231. # End time: 14:11:55 on Jun 15,2023, Elapsed time: 0:07:02
  232. # Errors: 12, Warnings: 1
  233. # vsim -cvg63 -voptargs=""+acc"" top_tb
  234. # Start time: 14:11:55 on Jun 15,2023
  235. # ** Note: (vsim-3813) Design is being optimized due to module recompilation...
  236. # Loading sv_std.std
  237. # Loading work.top_tb(fast)
  238. # Loading work.led_if(fast)
  239. # Loading work.dip_if(fast)
  240. # Loading work.fram_if(fast)
  241. # Loading work.clock_if(fast)
  242. # Loading work.top(fast)
  243. # Loading work.bus(fast)
  244. # Loading work.timer(fast)
  245. # Loading work.steuerung(fast)
  246. # Loading work.spi(fast)
  247. # Loading work.FRAM(fast)
  248. # Loading work.SPI_Master_With_Single_CS(fast)
  249. # Loading work.SPI_Master(fast)
  250. # Loading work.parallelport(fast)
  251. # Loading work.stimuli(fast)
  252. # Can't move the Now cursor.
  253. # Can't move the Now cursor.
  254. add wave -position insertpoint \
  255. sim:/top_tb/t1/f/mosi
  256. do /users/ads1/muelleral82290/linux/Dokumente/esy_B/uebung_projekt/compilescripts/simulation/compile.tcl
  257. #
  258. # create workspace
  259. # ** Warning: (vdel-134) Unable to remove locked optimized design "_opt". Locker is muelleral82290@efiapps1.efi.fh-nuernberg.de.
  260. # ** Warning: (vlib-34) Library already exists at "work".
  261. # QuestaSim-64 vmap 2019.4 Lib Mapping Utility 2019.10 Oct 15 2019
  262. # vmap work ./work
  263. # Modifying modelsim.ini
  264. #
  265. # Compile sv-Designfiles
  266. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  267. # Start time: 14:19:00 on Jun 15,2023
  268. # vlog -reportprogress 300 -work work ./hdl_src/sv/interface.sv
  269. # -- Compiling interface led_if
  270. # -- Compiling interface dip_if
  271. # -- Compiling interface fram_if
  272. # -- Compiling interface clock_if
  273. #
  274. # Top level modules:
  275. # --none--
  276. # End time: 14:19:00 on Jun 15,2023, Elapsed time: 0:00:00
  277. # Errors: 0, Warnings: 0
  278. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  279. # Start time: 14:19:00 on Jun 15,2023
  280. # vlog -reportprogress 300 -work work ./hdl_src/sv/stimuli.sv
  281. # -- Compiling module stimuli
  282. #
  283. # Top level modules:
  284. # stimuli
  285. # End time: 14:19:00 on Jun 15,2023, Elapsed time: 0:00:00
  286. # Errors: 0, Warnings: 0
  287. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  288. # Start time: 14:19:00 on Jun 15,2023
  289. # vlog -reportprogress 300 -work work ./hdl_src/sv/top_level.sv
  290. # -- Compiling module top
  291. # -- Compiling interface bus
  292. # -- Compiling module parallelport
  293. # -- Compiling module steuerung
  294. #
  295. # Top level modules:
  296. # top
  297. # End time: 14:19:00 on Jun 15,2023, Elapsed time: 0:00:00
  298. # Errors: 0, Warnings: 0
  299. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  300. # Start time: 14:19:00 on Jun 15,2023
  301. # vlog -reportprogress 300 -work work ./hdl_src/sv/top_tb.sv
  302. # -- Compiling module top_tb
  303. #
  304. # Top level modules:
  305. # top_tb
  306. # End time: 14:19:00 on Jun 15,2023, Elapsed time: 0:00:00
  307. # Errors: 0, Warnings: 0
  308. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  309. # Start time: 14:19:00 on Jun 15,2023
  310. # vlog -reportprogress 300 -work work ./hdl_src/sv/timer.sv
  311. # -- Compiling module timer
  312. #
  313. # Top level modules:
  314. # timer
  315. # End time: 14:19:00 on Jun 15,2023, Elapsed time: 0:00:00
  316. # Errors: 0, Warnings: 0
  317. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  318. # Start time: 14:19:01 on Jun 15,2023
  319. # vlog -reportprogress 300 -work work ./hdl_src/sv/SPI_Master_Control.sv
  320. # -- Compiling module SPI_Master
  321. #
  322. # Top level modules:
  323. # SPI_Master
  324. # End time: 14:19:01 on Jun 15,2023, Elapsed time: 0:00:00
  325. # Errors: 0, Warnings: 0
  326. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  327. # Start time: 14:19:01 on Jun 15,2023
  328. # vlog -reportprogress 300 -work work ./hdl_src/sv/SPI_Master.sv
  329. # -- Compiling module SPI_Master_With_Single_CS
  330. #
  331. # Top level modules:
  332. # SPI_Master_With_Single_CS
  333. # End time: 14:19:01 on Jun 15,2023, Elapsed time: 0:00:00
  334. # Errors: 0, Warnings: 0
  335. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  336. # Start time: 14:19:01 on Jun 15,2023
  337. # vlog -reportprogress 300 -work work ./hdl_src/sv/FRAM_Controller.sv
  338. # -- Compiling module FRAM
  339. #
  340. # Top level modules:
  341. # FRAM
  342. # End time: 14:19:01 on Jun 15,2023, Elapsed time: 0:00:00
  343. # Errors: 0, Warnings: 0
  344. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  345. # Start time: 14:19:01 on Jun 15,2023
  346. # vlog -reportprogress 300 -work work ./hdl_src/sv/fram.sv
  347. # -- Compiling module spi
  348. #
  349. # Top level modules:
  350. # spi
  351. # End time: 14:19:01 on Jun 15,2023, Elapsed time: 0:00:00
  352. # Errors: 0, Warnings: 0
  353. #
  354. # Run Simulation
  355. # End time: 14:19:01 on Jun 15,2023, Elapsed time: 0:07:06
  356. # Errors: 12, Warnings: 1
  357. # vsim -cvg63 -voptargs=""+acc"" top_tb
  358. # Start time: 14:19:01 on Jun 15,2023
  359. # ** Note: (vsim-8009) Loading existing optimized design _opt
  360. # Loading sv_std.std
  361. # Loading work.top_tb(fast)
  362. # Loading work.led_if(fast)
  363. # Loading work.dip_if(fast)
  364. # Loading work.fram_if(fast)
  365. # Loading work.clock_if(fast)
  366. # Loading work.top(fast)
  367. # Loading work.bus(fast)
  368. # Loading work.timer(fast)
  369. # Loading work.steuerung(fast)
  370. # Loading work.spi(fast)
  371. # Loading work.FRAM(fast)
  372. # Loading work.SPI_Master_With_Single_CS(fast)
  373. # Loading work.SPI_Master(fast)
  374. # Loading work.parallelport(fast)
  375. # Loading work.stimuli(fast)
  376. do /users/ads1/muelleral82290/linux/Dokumente/esy_B/uebung_projekt/compilescripts/simulation/compile.tcl
  377. #
  378. # create workspace
  379. # ** Warning: (vdel-134) Unable to remove locked optimized design "_opt". Locker is muelleral82290@efiapps1.efi.fh-nuernberg.de.
  380. # ** Warning: (vlib-34) Library already exists at "work".
  381. # QuestaSim-64 vmap 2019.4 Lib Mapping Utility 2019.10 Oct 15 2019
  382. # vmap work ./work
  383. # Modifying modelsim.ini
  384. #
  385. # Compile sv-Designfiles
  386. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  387. # Start time: 14:22:07 on Jun 15,2023
  388. # vlog -reportprogress 300 -work work ./hdl_src/sv/interface.sv
  389. # -- Compiling interface led_if
  390. # -- Compiling interface dip_if
  391. # -- Compiling interface fram_if
  392. # -- Compiling interface clock_if
  393. #
  394. # Top level modules:
  395. # --none--
  396. # End time: 14:22:07 on Jun 15,2023, Elapsed time: 0:00:00
  397. # Errors: 0, Warnings: 0
  398. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  399. # Start time: 14:22:07 on Jun 15,2023
  400. # vlog -reportprogress 300 -work work ./hdl_src/sv/stimuli.sv
  401. # -- Compiling module stimuli
  402. #
  403. # Top level modules:
  404. # stimuli
  405. # End time: 14:22:07 on Jun 15,2023, Elapsed time: 0:00:00
  406. # Errors: 0, Warnings: 0
  407. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  408. # Start time: 14:22:07 on Jun 15,2023
  409. # vlog -reportprogress 300 -work work ./hdl_src/sv/top_level.sv
  410. # -- Compiling module top
  411. # -- Compiling interface bus
  412. # -- Compiling module parallelport
  413. # -- Compiling module steuerung
  414. #
  415. # Top level modules:
  416. # top
  417. # End time: 14:22:07 on Jun 15,2023, Elapsed time: 0:00:00
  418. # Errors: 0, Warnings: 0
  419. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  420. # Start time: 14:22:07 on Jun 15,2023
  421. # vlog -reportprogress 300 -work work ./hdl_src/sv/top_tb.sv
  422. # -- Compiling module top_tb
  423. #
  424. # Top level modules:
  425. # top_tb
  426. # End time: 14:22:07 on Jun 15,2023, Elapsed time: 0:00:00
  427. # Errors: 0, Warnings: 0
  428. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  429. # Start time: 14:22:07 on Jun 15,2023
  430. # vlog -reportprogress 300 -work work ./hdl_src/sv/timer.sv
  431. # -- Compiling module timer
  432. #
  433. # Top level modules:
  434. # timer
  435. # End time: 14:22:07 on Jun 15,2023, Elapsed time: 0:00:00
  436. # Errors: 0, Warnings: 0
  437. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  438. # Start time: 14:22:07 on Jun 15,2023
  439. # vlog -reportprogress 300 -work work ./hdl_src/sv/SPI_Master_Control.sv
  440. # -- Compiling module SPI_Master
  441. #
  442. # Top level modules:
  443. # SPI_Master
  444. # End time: 14:22:07 on Jun 15,2023, Elapsed time: 0:00:00
  445. # Errors: 0, Warnings: 0
  446. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  447. # Start time: 14:22:07 on Jun 15,2023
  448. # vlog -reportprogress 300 -work work ./hdl_src/sv/SPI_Master.sv
  449. # -- Compiling module SPI_Master_With_Single_CS
  450. #
  451. # Top level modules:
  452. # SPI_Master_With_Single_CS
  453. # End time: 14:22:07 on Jun 15,2023, Elapsed time: 0:00:00
  454. # Errors: 0, Warnings: 0
  455. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  456. # Start time: 14:22:07 on Jun 15,2023
  457. # vlog -reportprogress 300 -work work ./hdl_src/sv/FRAM_Controller.sv
  458. # -- Compiling module FRAM
  459. #
  460. # Top level modules:
  461. # FRAM
  462. # End time: 14:22:08 on Jun 15,2023, Elapsed time: 0:00:01
  463. # Errors: 0, Warnings: 0
  464. # QuestaSim-64 vlog 2019.4 Compiler 2019.10 Oct 15 2019
  465. # Start time: 14:22:08 on Jun 15,2023
  466. # vlog -reportprogress 300 -work work ./hdl_src/sv/fram.sv
  467. # -- Compiling module spi
  468. #
  469. # Top level modules:
  470. # spi
  471. # End time: 14:22:08 on Jun 15,2023, Elapsed time: 0:00:00
  472. # Errors: 0, Warnings: 0
  473. #
  474. # Run Simulation
  475. # End time: 14:22:08 on Jun 15,2023, Elapsed time: 0:03:07
  476. # Errors: 5, Warnings: 1
  477. # vsim -cvg63 -voptargs=""+acc"" top_tb
  478. # Start time: 14:22:08 on Jun 15,2023
  479. # ** Note: (vsim-3813) Design is being optimized due to module recompilation...
  480. # Loading sv_std.std
  481. # Loading work.top_tb(fast)
  482. # Loading work.led_if(fast)
  483. # Loading work.dip_if(fast)
  484. # Loading work.fram_if(fast)
  485. # Loading work.clock_if(fast)
  486. # Loading work.top(fast)
  487. # Loading work.bus(fast)
  488. # Loading work.timer(fast)
  489. # Loading work.steuerung(fast)
  490. # Loading work.spi(fast)
  491. # Loading work.FRAM(fast)
  492. # Loading work.SPI_Master_With_Single_CS(fast)
  493. # Loading work.SPI_Master(fast)
  494. # Loading work.parallelport(fast)
  495. # Loading work.stimuli(fast)