ESY1_Projekt_2023/uebung_projekt/work/_info
2023-06-15 14:35:20 +02:00

399 lines
4.6 KiB
Plaintext

m255
K4
z2
!s11f vlog 2019.4 2019.10, Oct 15 2019
13
!s112 1.1
!i10d 8192
!i10e 25
!i10f 100
cModel Technology
Z0 d/users/ads1/muelleral82290/linux/Dokumente/esy_B/uebung_projekt
T_opt
Z1 !s110 1686831728
V2L38BN@acDd:OB=HL_FC32
04 6 4 work top_tb fast 0
=1-005056b42dc6-648b0270-7d119-559d
o-quiet -auto_acc_if_foreign -work work +acc
Z2 tCvgOpt 0
n@_opt
OL;O;2019.4;69
Ybus
Z3 DXx6 sv_std 3 std 0 22 9oUSJO;AeEaW`l:M@^WG92
Z4 !s110 1686831727
!i10b 1
!s100 T;581z6K]3OXG=KOJdK4G2
!s11b Dj[TOJX9onk[mCamXbz9c3
I<3_5F:_4Ri@f;?1:3BJf=2
Z5 VDg1SIo80bB@j0V0VzS_@n1
S1
R0
Z6 w1686830281
Z7 8./hdl_src/sv/top_level.sv
Z8 F./hdl_src/sv/top_level.sv
!i122 -1
L0 15
Z9 OL;L;2019.4;69
r1
!s85 0
31
Z10 !s108 1686831727.000000
Z11 !s107 ./hdl_src/sv/top_level.sv|
Z12 !s90 -reportprogress|300|-work|work|./hdl_src/sv/top_level.sv|
!i113 0
Z13 o-work work -L mtiAvm -L mtiRnm -L mtiOvm -L mtiUvm -L mtiUPF -L infact
R2
Yclock_if
R3
R4
!i10b 1
!s100 iND9Vz2l5W=c=U<U<OKbg0
!s11b oJPC4U0:1B^QG:cZC>8A:1
IOQJeZ`mU<Z1m4K_[FIhfS2
R5
S1
R0
Z14 w1686314964
Z15 8./hdl_src/sv/interface.sv
Z16 F./hdl_src/sv/interface.sv
!i122 -1
L0 60
R9
r1
!s85 0
31
R10
Z17 !s107 ./hdl_src/sv/interface.sv|
Z18 !s90 -reportprogress|300|-work|work|./hdl_src/sv/interface.sv|
!i113 0
R13
R2
Ydip_if
R3
R4
!i10b 1
!s100 lPioFG@0T7j3gKFQYYe8W0
!s11b @Hfo8VJnf;PXXPSgXE44V1
I@=49][3E;]nafb=5@ka:a0
R5
S1
R0
R14
R15
R16
!i122 -1
L0 33
R9
r1
!s85 0
31
R10
R17
R18
!i113 0
R13
R2
vFRAM
R3
R1
!i10b 1
!s100 6GgRzC`3J8EKCo2KAh]SA3
!s11b 48o?`kIzT=WRNj@`=ICgH0
Id_KQzKR^jG]NNATEeL[F]1
R5
S1
R0
w1686826733
8./hdl_src/sv/FRAM_Controller.sv
F./hdl_src/sv/FRAM_Controller.sv
!i122 -1
L0 1
R9
r1
!s85 0
31
R10
!s107 ./hdl_src/sv/FRAM_Controller.sv|
!s90 -reportprogress|300|-work|work|./hdl_src/sv/FRAM_Controller.sv|
!i113 0
R13
R2
n@f@r@a@m
Yfram_if
R3
R4
!i10b 1
!s100 FG9WSXZ0h`ZNCKFUfVEI;0
!s11b TH@FFRQ[NJF5WXda=V[<H1
IM[7bzae]_QlkaTl;QldDo3
R5
S1
R0
R14
R15
R16
!i122 -1
L0 47
R9
r1
!s85 0
31
R10
R17
R18
!i113 0
R13
R2
Yled_if
R3
R4
!i10b 1
!s100 NHE2==?Gh0C?o>9[W_4_O1
!s11b djVBMKk[@Wh5FXIDGlUF[2
I`agi[9j3c9e5gcFWSVSH51
R5
S1
R0
R14
R15
R16
!i122 -1
L0 21
R9
r1
!s85 0
31
R10
R17
R18
!i113 0
R13
R2
vparallelport
R3
R4
!i10b 1
!s100 Oh9lLSH=`_Q:=@41ZzlcY2
!s11b k21ML[34E18n]@g]EG:g02
I;1_EJNiVm[nDB?57=nXOD3
R5
S1
R0
R6
R7
R8
!i122 -1
L0 30
R9
r1
!s85 0
31
R10
R11
R12
!i113 0
R13
R2
vspi
R3
R1
!i10b 1
!s100 FWg;A^hM6Xk;TFJMgza]m2
!s11b NBC7eT]a7]iC:n6DXhW[e0
Ilbh>SdZV4bSDzE22EQIiC3
R5
S1
R0
w1686830667
8./hdl_src/sv/fram.sv
F./hdl_src/sv/fram.sv
!i122 -1
L0 4
R9
r1
!s85 0
31
!s108 1686831728.000000
!s107 ./hdl_src/sv/fram.sv|
!s90 -reportprogress|300|-work|work|./hdl_src/sv/fram.sv|
!i113 0
R13
R2
vSPI_Master
R3
R4
!i10b 1
!s100 LNaIK]EJb:HMhCL_bcUOT2
!s11b RZ[UYHW;Fa4LhmckzB[<X2
IFYo`G3DmU9[M^WeGMdfi73
R5
S1
R0
w1686826806
8./hdl_src/sv/SPI_Master_Control.sv
F./hdl_src/sv/SPI_Master_Control.sv
!i122 -1
Z19 L0 34
R9
r1
!s85 0
31
R10
!s107 ./hdl_src/sv/SPI_Master_Control.sv|
!s90 -reportprogress|300|-work|work|./hdl_src/sv/SPI_Master_Control.sv|
!i113 0
R13
R2
n@s@p@i_@master
vSPI_Master_With_Single_CS
R3
R4
!i10b 1
!s100 15??SlXF;4=1UHZHFcb4D2
!s11b iXFhD1[A]RQ:BgzgT;=fY3
ID5Z=T=j@LdzO_?_@1UFZC3
R5
S1
R0
w1686826875
8./hdl_src/sv/SPI_Master.sv
F./hdl_src/sv/SPI_Master.sv
!i122 -1
Z20 L0 38
R9
r1
!s85 0
31
R10
!s107 ./hdl_src/sv/SPI_Master.sv|
!s90 -reportprogress|300|-work|work|./hdl_src/sv/SPI_Master.sv|
!i113 0
R13
R2
n@s@p@i_@master_@with_@single_@c@s
vsteuerung
R3
R4
!i10b 1
!s100 >DGbebG_Mk0W]hI8XCQ?k0
!s11b WKleG=JcKL4FgO@TP[IO[1
IE`WCa5G2QlghM9EX[<1dS3
R5
S1
R0
R6
R7
R8
!i122 -1
R20
R9
r1
!s85 0
31
R10
R11
R12
!i113 0
R13
R2
vstimuli
R3
R4
!i10b 1
!s100 ?c<R_8azXOe4?MR6PN9lb1
!s11b f7UOC6iFO:78SRcz4Ojgc1
IFCieJ4l88EVc2aVF84efc1
R5
S1
R0
w1686831722
8./hdl_src/sv/stimuli.sv
F./hdl_src/sv/stimuli.sv
!i122 -1
L0 37
R9
r1
!s85 0
31
R10
!s107 ./hdl_src/sv/stimuli.sv|
!s90 -reportprogress|300|-work|work|./hdl_src/sv/stimuli.sv|
!i113 0
R13
R2
vtimer
R3
R4
!i10b 1
!s100 ^LgdMGMo^z_E<7OKUmDz:0
!s11b [5XV:J9W^QFe>5GG;9B8k3
IEenLI0W00diXD61Ele2;U0
R5
S1
R0
w1686831703
8./hdl_src/sv/timer.sv
F./hdl_src/sv/timer.sv
!i122 -1
L0 19
R9
r1
!s85 0
31
R10
!s107 ./hdl_src/sv/timer.sv|
!s90 -reportprogress|300|-work|work|./hdl_src/sv/timer.sv|
!i113 0
R13
R2
vtop
R3
R4
!i10b 1
!s100 6?3aiGY1NTOnA[jU;ZnEa3
!s11b =zPVnM;Zm1L1Ig2finB;E2
I^g60QFGiK:2<OB;dYJIk23
R5
S1
R0
R6
R7
R8
!i122 -1
L0 2
R9
r1
!s85 0
31
R10
R11
R12
!i113 0
R13
R2
vtop_tb
R3
R4
!i10b 1
!s100 MLz@z6Rj=WV;42>b=KZhX2
!s11b jcE]a:O3cJ<=CdGZ:MgQ62
IbRb[2DAWSb2IUOHB[hFz:2
R5
S1
R0
w1686315659
8./hdl_src/sv/top_tb.sv
F./hdl_src/sv/top_tb.sv
!i122 -1
R19
R9
r1
!s85 0
31
R10
!s107 ./hdl_src/sv/top_tb.sv|
!s90 -reportprogress|300|-work|work|./hdl_src/sv/top_tb.sv|
!i113 0
R13
R2