You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

wave.do 1.3KB

1234567891011121314151617181920212223242526272829303132
  1. onerror {resume}
  2. quietly WaveActivateNextPane {} 0
  3. add wave -noupdate -radix binary /top_tb/stim_dip_if/dip
  4. add wave -noupdate -radix binary /top_tb/t1/fpga_bus/dip
  5. add wave -noupdate -radix binary /top_tb/stim_clock_if/clk
  6. add wave -noupdate -radix binary /top_tb/t1/fpga_bus/clk
  7. add wave -noupdate -radix binary /top_tb/t1/fpga_bus/timer
  8. add wave -noupdate -radix hexadecimal /top_tb/t1/s/FRAM_Adr
  9. add wave -noupdate -radix binary /top_tb/t1/s/clk_cntr
  10. add wave -noupdate -radix hexadecimal /top_tb/t1/s/FRAM_DATA_IN
  11. add wave -noupdate -radix hexadecimal /top_tb/t1/s/FRAM_DATA_OUT
  12. add wave -noupdate -radix binary /top_tb/t1/f/mosi
  13. add wave -noupdate -radix binary /top_tb/t1/f/sclk
  14. add wave -noupdate -radix binary /top_tb/t1/fpga_bus/spi_read
  15. TreeUpdate [SetDefaultTree]
  16. WaveRestoreCursors {{Cursor 1} {0 ns} 0}
  17. quietly wave cursor active 0
  18. configure wave -namecolwidth 150
  19. configure wave -valuecolwidth 100
  20. configure wave -justifyvalue left
  21. configure wave -signalnamewidth 0
  22. configure wave -snapdistance 10
  23. configure wave -datasetprefix 0
  24. configure wave -rowmargin 4
  25. configure wave -childrowmargin 2
  26. configure wave -gridoffset 0
  27. configure wave -gridperiod 1
  28. configure wave -griddelta 40
  29. configure wave -timeline 0
  30. configure wave -timelineunits ns
  31. update
  32. WaveRestoreZoom {50 ns} {1050 ns}