Studentenversion des ESY6/A Praktikums "signal_processing".
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

Makefile 702B

1234567891011121314151617181920
  1. test = test_task_rand
  2. bsp_root_dir = ../../../software/signal_processing_bsp
  3. expected_data = ../../data/rand.py
  4. scripts = ../../scripts
  5. c_sources += \
  6. ../../../software/signal_processing/system/task.c \
  7. ../../../software/signal_processing/system/hardware_task.c \
  8. ../../../software/signal_processing/system/data_channel.c \
  9. ../../../software/signal_processing/system/hardware_timestamp.c \
  10. ../../../software/signal_processing/system/task_rand.c \
  11. ../../../software/signal_processing/system/binding.c \
  12. ../../../software/signal_processing/rand.c \
  13. ../data_channel/test_data_channel.c \
  14. ../device_test.c \
  15. ../test_task.c \
  16. main.c \
  17. include ../device_data_test.mk