Verwendeter Programmcode in Studienarbeit für ESY1B zum Thema "Verifikation mit SystemVerilog und Python"
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

README.md 174B

1234567
  1. # i2c_slave_opencores
  2. I2C Verilog Testbench für einen CO2 Sensor
  3. Beispiel ist von OpenCores
  4. https://git.efi.th-nuernberg.de/gitea/schmidtsi76327/i2c_slave_opencores