Verwendeter Programmcode in Studienarbeit für ESY1B zum Thema "Verifikation mit SystemVerilog und Python"
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

Makefile 253B

123456789101112
  1. # cocotb setup
  2. MODULE = test
  3. TOPLEVEL = top
  4. VERILOG_SOURCES = top.v filter.v
  5. include $(shell cocotb-config --makefiles)/Makefile.sim
  6. # filters exported by pyfda always have module name set to top
  7. filter.v:
  8. sed -i 's/top/filter/' $@
  9. .PHONY: filter.v