Verwendeter Programmcode in Studienarbeit für ESY1B zum Thema "Verifikation mit SystemVerilog und Python"
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
Simon Schmidt b3637d6d76 added i2c example from VL 2 years ago
..
i2cSlave_IPCore_Specification.sxw added i2c example from VL 2 years ago