Verwendeter Programmcode in Studienarbeit für ESY1B zum Thema "Verifikation mit SystemVerilog und Python"
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

filelist.icarus 331B

12345678910111213141516
  1. ../rtl/serialInterface.v
  2. ../rtl/registerInterface.v
  3. ../rtl/i2cSlave.v
  4. ../model/i2c_master_bit_ctrl.v
  5. ../model/i2c_master_byte_ctrl.v
  6. ../model/i2c_master_top.v
  7. ../model/wb_master_model.v
  8. ../bench/multiByteReadWrite.v
  9. ../bench/testHarness.v
  10. ../bench/testCase0.v
  11. +incdir+../rtl
  12. +incdir+../bench
  13. +incdir+../model
  14. +define+SIM_COMPILE