Verwendeter Programmcode in Studienarbeit für ESY1B zum Thema "Verifikation mit SystemVerilog und Python"
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

counter_if.sv 484B

12345678910111213141516171819202122232425
  1. interface counter_if(input logic clk);
  2. logic rst, updown, load;
  3. logic [3:0] data;
  4. logic [3:0] data_out;
  5. clocking wr_cb@(posedge clk);
  6. output load, updown, rst;
  7. output data;
  8. endclocking
  9. clocking wrmon_cb@(posedge clk);
  10. input data;
  11. input load, rst, updown;
  12. endclocking
  13. clocking rdmon_cb@(posedge clk);
  14. input data_out;
  15. endclocking
  16. modport WR_BFM(clocking wr_cb);
  17. modport WR_MON(clocking wrmon_cb);
  18. modport RD_MON(clocking rdmon_cb);
  19. endinterface: counter_if