Verwendeter Programmcode in Studienarbeit für ESY1B zum Thema "Verifikation mit SystemVerilog und Python"
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

counter_pkg.sv 284B

1234567891011121314
  1. package counter_pkg;
  2. int no_of_transaction;
  3. `include "counter_trans.sv"
  4. `include "counter_gen.sv"
  5. `include "counter_wr_bfm.sv"
  6. `include "counter_wr_mon.sv"
  7. `include "counter_rd_mon.sv"
  8. `include "counter_rm.sv"
  9. `include "counter_sb.sv"
  10. `include "counter_env.sv"
  11. endpackage