Verwendeter Programmcode in Studienarbeit für ESY1B zum Thema "Verifikation mit SystemVerilog und Python"
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

top.sv 550B

12345678910111213141516171819202122232425262728293031323334353637
  1. `include "test.sv"
  2. module top;
  3. reg clk;
  4. counter_if intf(clk);
  5. counter DUV( .clk(clk),
  6. .rst(intf.rst),
  7. .load(intf.load),
  8. .updown(intf.updown),
  9. .data(intf.data),
  10. .data_out(intf.data_out));
  11. bind DUV counter_assertion C_A( .clk(clk),
  12. .rst(intf.rst),
  13. .load(intf.load),
  14. .updown(intf.updown),
  15. .data(intf.data),
  16. .count(intf.data_out));
  17. test test_h;
  18. initial
  19. begin
  20. test_h = new(intf, intf, intf);
  21. test_h.build_and_run();
  22. end
  23. initial
  24. begin
  25. clk = 0;
  26. forever #10 clk = ~clk;
  27. end
  28. endmodule: top