FPGA Projektarbeit
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

vivado_1824.backup.log 83KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748749750751752753754755756757758759760761762763764765766767768769770771772773774775776777778779780781782783784785786787788789790791792793794795796797798799800801802803804805806807808809810811812813814815816817818819820821822823824825826827828829830831832833834835836837838839840841842843844845846847848849850851852853854855856857858859860861862863864865866867868869870871872873874875876877878879880881882883884885886887888889890891892893894895896897898899900901902903904905906907908909910911912913914915916917918919920921922923924925926927928929930931932933934935936937938939940941942943944945946947948949950951952953954955956957958959960961962963964965966967968969970971972973974975976977978979980981982983984985986987988989990991992993994995996
  1. #-----------------------------------------------------------
  2. # Vivado v2021.2 (64-bit)
  3. # SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021
  4. # IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021
  5. # Start of session at: Wed Mar 16 18:29:30 2022
  6. # Process ID: 1824
  7. # Current directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test
  8. # Command line: vivado.exe -gui_launcher_event rodinguilauncherevent21192 C:\Users\Felix\OneDrive\Master\Projektarbeit_FPGA\Programme\Coraz7_Test\Coraz7_Test.xpr
  9. # Log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/vivado.log
  10. # Journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test\vivado.jou
  11. # Running On: DESKTOP-PAACOM8, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 16927 MB
  12. #-----------------------------------------------------------
  13. start_gui
  14. open_project C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.xpr
  15. WARNING: [Board 49-26] cannot add Board Part digilentinc.com:genesys2:part0:1.1 available at C:/Xilinx/Vivado/2021.2/data/boards/board_files/genesys2/H/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available
  16. WARNING: [Board 49-26] cannot add Board Part digilentinc.com:sword:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/boards/board_files/sword/C.0/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available
  17. WARNING: [Board 49-26] cannot add Board Part xilinx.com:kc705:part0:1.6 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/kc705/1.6/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available
  18. WARNING: [Board 49-26] cannot add Board Part xilinx.com:kcu105:part0:1.6 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/kcu105/1.6/board.xml as part xcku040-ffva1156-2-e specified in board_part file is either invalid or not available
  19. WARNING: [Board 49-26] cannot add Board Part xilinx.com:kcu105:part0:1.7 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/kcu105/1.7/board.xml as part xcku040-ffva1156-2-e specified in board_part file is either invalid or not available
  20. WARNING: [Board 49-26] cannot add Board Part xilinx.com:kcu1500:part0:1.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/kcu1500/1.2/board.xml as part xcku115-flvb2104-2-e specified in board_part file is either invalid or not available
  21. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vc707:part0:1.4 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vc707/1.4/board.xml as part xc7vx485tffg1761-2 specified in board_part file is either invalid or not available
  22. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vc709:part0:1.8 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vc709/1.8/board.xml as part xc7vx690tffg1761-2 specified in board_part file is either invalid or not available
  23. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vck190:part0:2.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vck190/production/2.2/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
  24. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vck190_es:part0:1.3 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vck190/es/1.3/board.xml as part xcvc1902-vsva2197-2mp-e-s-es1 specified in board_part file is either invalid or not available
  25. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu108:part0:1.6 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu108/1.6/board.xml as part xcvu095-ffva2104-2-e specified in board_part file is either invalid or not available
  26. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu108:part0:1.7 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu108/1.7/board.xml as part xcvu095-ffva2104-2-e specified in board_part file is either invalid or not available
  27. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu110:part0:1.4 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu110/1.4/board.xml as part xcvu190-flgc2104-2-e specified in board_part file is either invalid or not available
  28. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu118:part0:2.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu118/2.0/board.xml as part xcvu9p-flga2104-2l-e specified in board_part file is either invalid or not available
  29. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu118:part0:2.3 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu118/2.3/board.xml as part xcvu9p-flga2104-2l-e specified in board_part file is either invalid or not available
  30. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu118:part0:2.4 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu118/2.4/board.xml as part xcvu9p-flga2104-2l-e specified in board_part file is either invalid or not available
  31. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu128:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu128/production/1.0/board.xml as part xcvu37p-fsvh2892-2l-e specified in board_part file is either invalid or not available
  32. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu129:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu129/production/1.0/board.xml as part xcvu29p-fsga2577-2l-e specified in board_part file is either invalid or not available
  33. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu1525:part0:1.3 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu1525/1.3/board.xml as part xcvu9p-fsgd2104-2l-e specified in board_part file is either invalid or not available
  34. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vermeo_t1_mpsoc:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vermeo_t1_mpsoc/1.0/board.xml as part xczu19eg-ffvd1760-2l-e specified in board_part file is either invalid or not available
  35. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vermeo_t1_rfsoc:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vermeo_t1_rfsoc/1.0/board.xml as part xczu21dr-ffvd1156-2l-e specified in board_part file is either invalid or not available
  36. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vmk180:part0:2.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vmk180/production/2.2/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
  37. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vmk180_es:part0:1.3 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vmk180/es/1.3/board.xml as part xcvm1802-vsva2197-2mp-e-s-es1 specified in board_part file is either invalid or not available
  38. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vpk120_es:part0:1.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vpk120/es/1.2/board.xml as part xcvp1202-vsva2785-2mp-e-s-es1 specified in board_part file is either invalid or not available
  39. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zc706:part0:1.4 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zc706/1.4/board.xml as part xc7z045ffg900-2 specified in board_part file is either invalid or not available
  40. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu102:part0:3.3 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu102/3.3/board.xml as part xczu9eg-ffvb1156-2-e specified in board_part file is either invalid or not available
  41. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu102:part0:3.4 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu102/3.4/board.xml as part xczu9eg-ffvb1156-2-e specified in board_part file is either invalid or not available
  42. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu111:part0:1.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu111/1.2/board.xml as part xczu28dr-ffvg1517-2-e specified in board_part file is either invalid or not available
  43. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu111:part0:1.3 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu111/1.3/board.xml as part xczu28dr-ffvg1517-2-e specified in board_part file is either invalid or not available
  44. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu111:part0:1.4 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu111/1.4/board.xml as part xczu28dr-ffvg1517-2-e specified in board_part file is either invalid or not available
  45. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu1275:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu1275/1.0/board.xml as part xczu29dr-ffvf1760-2-e specified in board_part file is either invalid or not available
  46. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu1285:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu1285/1.0/board.xml as part xczu39dr-ffvf1760-2-i specified in board_part file is either invalid or not available
  47. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208:part0:2.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208/production/2.0/board.xml as part xczu48dr-fsvg1517-2-e specified in board_part file is either invalid or not available
  48. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208_es:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208/es/1.0/board.xml as part xczu48dr-fsvg1517-2-e-es1 specified in board_part file is either invalid or not available
  49. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208_es:part0:1.1 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208/es/1.1/board.xml as part xczu48dr-fsvg1517-2-e-es1 specified in board_part file is either invalid or not available
  50. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208_es:part0:1.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208/es/1.2/board.xml as part xczu48dr-fsvg1517-2-e-es1 specified in board_part file is either invalid or not available
  51. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available
  52. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld_es:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/es/1.0/board.xml as part xczu58dr-fsvg1517-2-i-es1 specified in board_part file is either invalid or not available
  53. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216:part0:2.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216/production/2.0/board.xml as part xczu49dr-ffvf1760-2-e specified in board_part file is either invalid or not available
  54. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216_es:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216/es/1.0/board.xml as part xczu49dr-ffvf1760-2-e-es1 specified in board_part file is either invalid or not available
  55. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216_es:part0:1.1 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216/es/1.1/board.xml as part xczu49dr-ffvf1760-2-e-es1 specified in board_part file is either invalid or not available
  56. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216_es:part0:1.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216/es/1.2/board.xml as part xczu49dr-ffvf1760-2-e-es1 specified in board_part file is either invalid or not available
  57. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available
  58. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld_es:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/es/1.0/board.xml as part xczu59dr-ffvf1760-2-i-es1 specified in board_part file is either invalid or not available
  59. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670/1.0/board.xml as part xczu67dr-fsve1156-2-i specified in board_part file is either invalid or not available
  60. INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.gen/sources_1'.
  61. Scanning sources...
  62. Finished scanning sources
  63. INFO: [IP_Flow 19-234] Refreshing IP repositories
  64. INFO: [IP_Flow 19-1704] No user IP repositories specified
  65. INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2021.2/data/ip'.
  66. open_project: Time (s): cpu = 00:00:20 ; elapsed = 00:00:09 . Memory (MB): peak = 1252.246 ; gain = 0.000
  67. create_bd_design "IO_Test"
  68. Wrote : <C:\Users\Felix\OneDrive\Master\Projektarbeit_FPGA\Programme\Coraz7_Test\Coraz7_Test.srcs\sources_1\bd\IO_Test\IO_Test.bd>
  69. INFO: [BD 41-2613] The output directory c:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.gen/sources_1/bd/IO_Test for IO_Test cannot be found.
  70. create_bd_design: Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 1252.246 ; gain = 0.000
  71. update_compile_order -fileset sources_1
  72. open_bd_design {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/bd/IO_Test/IO_Test.bd}
  73. open_bd_design {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/bd/IO_Test/IO_Test.bd}
  74. open_bd_design {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/bd/IO_Test/IO_Test.bd}
  75. open_bd_design {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/bd/IO_Test/IO_Test.bd}
  76. open_bd_design {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/bd/IO_Test/IO_Test.bd}
  77. open_bd_design {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/bd/IO_Test/IO_Test.bd}
  78. file mkdir C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/new
  79. close [ open C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/new/IO_Test.v w ]
  80. add_files C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/new/IO_Test.v
  81. update_compile_order -fileset sources_1
  82. close [ open C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd w ]
  83. add_files C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd
  84. update_compile_order -fileset sources_1
  85. export_ip_user_files -of_objects [get_files C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/new/IO_Test.v] -no_script -reset -force -quiet
  86. remove_files C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/new/IO_Test.v
  87. update_compile_order -fileset sources_1
  88. update_compile_order -fileset sources_1
  89. update_compile_order -fileset sources_1
  90. update_compile_order -fileset sources_1
  91. launch_runs synth_1 -jobs 6
  92. WARNING: [Vivado 12-7122] Auto Incremental Compile:: No reference checkpoint was found in run synth_1. Auto-incremental flow will not be run, the standard flow will be run instead.
  93. [Wed Mar 16 19:55:26 2022] Launched synth_1...
  94. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/runme.log
  95. launch_simulation
  96. Command: launch_simulation
  97. INFO: [Vivado 12-12493] Simulation top is 'pwm_test'
  98. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  99. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  100. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  101. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  102. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  103. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  104. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test' in fileset 'sim_1'...
  105. INFO: [USF-XSim-97] Finding global include files...
  106. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  107. INFO: [USF-XSim-2] XSim::Compile design
  108. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  109. "xvhdl --incr --relax -prj pwm_test_vhdl.prj"
  110. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd" into library xil_defaultlib
  111. INFO: [VRFC 10-3107] analyzing entity 'pwm_test'
  112. ERROR: [VRFC 10-3031] 'led' with mode 'out' cannot be read [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:48]
  113. ERROR: [VRFC 10-3782] unit 'behavioral' ignored due to previous errors [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:39]
  114. INFO: [VRFC 10-3070] VHDL file 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd' ignored due to errors
  115. INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
  116. INFO: [USF-XSim-99] Step results log file:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim/xvhdl.log'
  117. ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim/xvhdl.log' file for more information.
  118. ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
  119. ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
  120. reset_run synth_1
  121. launch_runs synth_1 -jobs 6
  122. WARNING: [Vivado 12-7122] Auto Incremental Compile:: No reference checkpoint was found in run synth_1. Auto-incremental flow will not be run, the standard flow will be run instead.
  123. [Wed Mar 16 19:57:51 2022] Launched synth_1...
  124. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/runme.log
  125. launch_simulation
  126. Command: launch_simulation
  127. INFO: [Vivado 12-12493] Simulation top is 'pwm_test'
  128. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  129. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  130. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  131. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  132. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  133. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  134. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test' in fileset 'sim_1'...
  135. INFO: [USF-XSim-97] Finding global include files...
  136. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  137. INFO: [USF-XSim-2] XSim::Compile design
  138. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  139. "xvhdl --incr --relax -prj pwm_test_vhdl.prj"
  140. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd" into library xil_defaultlib
  141. INFO: [VRFC 10-3107] analyzing entity 'pwm_test'
  142. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  143. INFO: [USF-XSim-3] XSim::Elaborate design
  144. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  145. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_behav xil_defaultlib.pwm_test -log elaborate.log"
  146. Vivado Simulator v2021.2
  147. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  148. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_behav xil_defaultlib.pwm_test -log elaborate.log
  149. Using 2 slave threads.
  150. Starting static elaboration
  151. Completed static elaboration
  152. Starting simulation data flow analysis
  153. Completed simulation data flow analysis
  154. Time Resolution for simulation is 1ps
  155. Compiling package std.standard
  156. Compiling package std.textio
  157. Compiling package ieee.std_logic_1164
  158. Compiling architecture behavioral of entity xil_defaultlib.pwm_test
  159. Built simulation snapshot pwm_test_behav
  160. INFO: [USF-XSim-69] 'elaborate' step finished in '4' seconds
  161. INFO: [USF-XSim-4] XSim::Simulate design
  162. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  163. INFO: [USF-XSim-98] *** Running xsim
  164. with args "pwm_test_behav -key {Behavioral:sim_1:Functional:pwm_test} -tclbatch {pwm_test.tcl} -log {simulate.log}"
  165. INFO: [USF-XSim-8] Loading simulator feature
  166. Time resolution is 1 ps
  167. source pwm_test.tcl
  168. # set curr_wave [current_wave_config]
  169. # if { [string length $curr_wave] == 0 } {
  170. # if { [llength [get_objects]] > 0} {
  171. # add_wave /
  172. # set_property needs_save false [current_wave_config]
  173. # } else {
  174. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  175. # }
  176. # }
  177. # run 1000ns
  178. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_behav' loaded.
  179. INFO: [USF-XSim-97] XSim simulation ran for 1000ns
  180. launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:09 . Memory (MB): peak = 1349.082 ; gain = 26.551
  181. launch_runs impl_1 -jobs 6
  182. [Wed Mar 16 19:58:36 2022] Launched impl_1...
  183. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/runme.log
  184. open_run impl_1
  185. INFO: [Device 21-403] Loading part xc7z010clg400-1
  186. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1688.973 ; gain = 0.000
  187. INFO: [Netlist 29-17] Analyzing 16 Unisim elements for replacement
  188. INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
  189. INFO: [Project 1-479] Netlist was created with Vivado 2021.2
  190. INFO: [Project 1-570] Preparing netlist for logic optimization
  191. Reading XDEF placement.
  192. Reading placer database...
  193. Reading XDEF routing.
  194. Read XDEF File: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.045 . Memory (MB): peak = 1764.523 ; gain = 0.172
  195. Restored from archive | CPU: 0.000000 secs | Memory: 0.000000 MB |
  196. Finished XDEF File Restore: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.046 . Memory (MB): peak = 1764.523 ; gain = 0.172
  197. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2169.715 ; gain = 0.000
  198. INFO: [Project 1-111] Unisim Transformation Summary:
  199. No Unisim elements were transformed.
  200. open_run: Time (s): cpu = 00:00:13 ; elapsed = 00:00:09 . Memory (MB): peak = 2238.512 ; gain = 881.695
  201. WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis.
  202. export_ip_user_files -of_objects [get_files C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/bd/IO_Test/IO_Test.bd] -no_script -reset -force -quiet
  203. remove_files C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/bd/IO_Test/IO_Test.bd
  204. Wrote : <C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/bd/IO_Test/ui/bd_316ac62b.ui>
  205. file mkdir C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sim_1/new
  206. set_property SOURCE_SET sources_1 [get_filesets sim_1]
  207. close [ open C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd w ]
  208. add_files -fileset sim_1 C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd
  209. update_compile_order -fileset sim_1
  210. update_compile_order -fileset sim_1
  211. reset_run synth_1
  212. INFO: [Project 1-1160] Copying file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/pwm_test.dcp to C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/utils_1/imports/synth_1 and adding it to utils fileset
  213. launch_runs synth_1 -jobs 6
  214. [Wed Mar 16 20:13:13 2022] Launched synth_1...
  215. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/runme.log
  216. launch_runs impl_1 -jobs 6
  217. [Wed Mar 16 20:13:57 2022] Launched impl_1...
  218. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/runme.log
  219. refresh_design
  220. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 2357.781 ; gain = 0.000
  221. INFO: [Netlist 29-17] Analyzing 16 Unisim elements for replacement
  222. INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
  223. INFO: [Project 1-479] Netlist was created with Vivado 2021.2
  224. INFO: [Project 1-570] Preparing netlist for logic optimization
  225. Reading XDEF placement.
  226. Reading placer database...
  227. Reading XDEF routing.
  228. Read XDEF File: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.054 . Memory (MB): peak = 2357.781 ; gain = 0.000
  229. Restored from archive | CPU: 0.000000 secs | Memory: 0.000000 MB |
  230. Finished XDEF File Restore: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.055 . Memory (MB): peak = 2357.781 ; gain = 0.000
  231. WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis.
  232. launch_simulation
  233. Command: launch_simulation
  234. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  235. boost::filesystem::remove: Der Prozess kann nicht auf die Datei zugreifen, da sie von einem anderen Prozess verwendet wird: "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim/simulate.log"
  236. launch_simulation
  237. Command: launch_simulation
  238. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  239. boost::filesystem::remove: Der Prozess kann nicht auf die Datei zugreifen, da sie von einem anderen Prozess verwendet wird: "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim/simulate.log"
  240. launch_simulation
  241. Command: launch_simulation
  242. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  243. boost::filesystem::remove: Der Prozess kann nicht auf die Datei zugreifen, da sie von einem anderen Prozess verwendet wird: "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim/simulate.log"
  244. reset_run synth_1
  245. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/utils_1/imports/synth_1/pwm_test.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/pwm_test.dcp
  246. launch_runs synth_1 -jobs 6
  247. [Wed Mar 16 20:16:57 2022] Launched synth_1...
  248. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/runme.log
  249. launch_simulation
  250. Command: launch_simulation
  251. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  252. boost::filesystem::remove: Der Prozess kann nicht auf die Datei zugreifen, da sie von einem anderen Prozess verwendet wird: "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim/simulate.log"
  253. launch_simulation -mode post-synthesis -type functional
  254. Command: launch_simulation -mode post-synthesis -type functional
  255. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  256. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  257. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  258. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  259. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  260. Design is defaulting to impl run constrset: constrs_1
  261. Design is defaulting to synth run part: xc7z010clg400-1
  262. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 2379.422 ; gain = 0.000
  263. INFO: [Netlist 29-17] Analyzing 16 Unisim elements for replacement
  264. INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
  265. INFO: [Project 1-479] Netlist was created with Vivado 2021.2
  266. INFO: [Project 1-570] Preparing netlist for logic optimization
  267. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
  268. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2431.441 ; gain = 0.000
  269. INFO: [Project 1-111] Unisim Transformation Summary:
  270. No Unisim elements were transformed.
  271. INFO: [SIM-utils-24] Writing simulation netlist file for design 'synth_1'...
  272. INFO: [SIM-utils-25] write_verilog -mode funcsim -nolib -force -file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim/pwm_test_db_func_synth.v"
  273. INFO: [SIM-utils-36] Netlist generated:C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim/pwm_test_db_func_synth.v
  274. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  275. INFO: [USF-XSim-2] XSim::Compile design
  276. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  277. "xvlog --incr --relax -prj pwm_test_db_vlog.prj"
  278. INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim/pwm_test_db_func_synth.v" into library xil_defaultlib
  279. INFO: [VRFC 10-311] analyzing module pwm_test
  280. INFO: [VRFC 10-311] analyzing module glbl
  281. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  282. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib
  283. INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  284. INFO: [USF-XSim-69] 'compile' step finished in '4' seconds
  285. INFO: [USF-XSim-3] XSim::Elaborate design
  286. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  287. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log"
  288. Vivado Simulator v2021.2
  289. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  290. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log
  291. Using 2 slave threads.
  292. Starting static elaboration
  293. Pass Through NonSizing Optimizer
  294. Completed static elaboration
  295. Starting simulation data flow analysis
  296. Completed simulation data flow analysis
  297. Time Resolution for simulation is 1ps
  298. Compiling package std.standard
  299. Compiling package std.textio
  300. Compiling package ieee.std_logic_1164
  301. Compiling package vl.vl_types
  302. Compiling module xil_defaultlib.glbl
  303. Compiling module unisims_ver.BUFG
  304. Compiling module unisims_ver.IBUF
  305. Compiling module unisims_ver.x_lut1_mux2
  306. Compiling module unisims_ver.LUT1(INIT=2'b01)
  307. Compiling module unisims_ver.FDCE_default
  308. Compiling module unisims_ver.CARRY4
  309. Compiling module unisims_ver.OBUF
  310. Compiling module unisims_ver.latchsre_ldce
  311. Compiling module unisims_ver.LDCE
  312. Compiling module unisims_ver.x_lut2_mux4
  313. Compiling module unisims_ver.LUT2
  314. Compiling module xil_defaultlib.pwm_test
  315. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  316. Built simulation snapshot pwm_test_db_func_synth
  317. INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
  318. INFO: [USF-XSim-4] XSim::Simulate design
  319. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  320. INFO: [USF-XSim-98] *** Running xsim
  321. with args "pwm_test_db_func_synth -key {Post-Synthesis:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -log {simulate.log}"
  322. INFO: [USF-XSim-8] Loading simulator feature
  323. Time resolution is 1 ps
  324. source pwm_test_db.tcl
  325. # set curr_wave [current_wave_config]
  326. # if { [string length $curr_wave] == 0 } {
  327. # if { [llength [get_objects]] > 0} {
  328. # add_wave /
  329. # set_property needs_save false [current_wave_config]
  330. # } else {
  331. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  332. # }
  333. # }
  334. # run 1000ns
  335. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_func_synth' loaded.
  336. INFO: [USF-XSim-97] XSim simulation ran for 1000ns
  337. launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:11 . Memory (MB): peak = 2560.469 ; gain = 181.691
  338. relaunch_sim
  339. Command: launch_simulation -step compile -simset sim_1 -mode post-synthesis -type functional
  340. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  341. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  342. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  343. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  344. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  345. INFO: [SIM-utils-24] Writing simulation netlist file for design 'synth_1'...
  346. INFO: [SIM-utils-25] write_verilog -mode funcsim -nolib -force -file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim/pwm_test_db_func_synth.v"
  347. INFO: [SIM-utils-36] Netlist generated:C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim/pwm_test_db_func_synth.v
  348. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  349. INFO: [USF-XSim-2] XSim::Compile design
  350. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  351. "xvlog --incr --relax -prj pwm_test_db_vlog.prj"
  352. INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim/pwm_test_db_func_synth.v" into library xil_defaultlib
  353. INFO: [VRFC 10-311] analyzing module pwm_test
  354. INFO: [VRFC 10-311] analyzing module glbl
  355. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  356. INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
  357. Command: launch_simulation -step elaborate -simset sim_1 -mode post-synthesis -type functional
  358. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  359. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  360. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  361. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  362. INFO: [USF-XSim-3] XSim::Elaborate design
  363. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  364. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log"
  365. Vivado Simulator v2021.2
  366. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  367. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log
  368. Using 2 slave threads.
  369. Starting static elaboration
  370. Pass Through NonSizing Optimizer
  371. Completed static elaboration
  372. Starting simulation data flow analysis
  373. Completed simulation data flow analysis
  374. Time Resolution for simulation is 1ps
  375. Compiling package std.standard
  376. Compiling package std.textio
  377. Compiling package ieee.std_logic_1164
  378. Compiling package vl.vl_types
  379. Compiling module xil_defaultlib.glbl
  380. Compiling module unisims_ver.BUFG
  381. Compiling module unisims_ver.IBUF
  382. Compiling module unisims_ver.x_lut1_mux2
  383. Compiling module unisims_ver.LUT1(INIT=2'b01)
  384. Compiling module unisims_ver.FDCE_default
  385. Compiling module unisims_ver.CARRY4
  386. Compiling module unisims_ver.OBUF
  387. Compiling module unisims_ver.latchsre_ldce
  388. Compiling module unisims_ver.LDCE
  389. Compiling module unisims_ver.x_lut2_mux4
  390. Compiling module unisims_ver.LUT2
  391. Compiling module xil_defaultlib.pwm_test
  392. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  393. Built simulation snapshot pwm_test_db_func_synth
  394. INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
  395. Time resolution is 1 ps
  396. relaunch_sim: Time (s): cpu = 00:00:02 ; elapsed = 00:00:08 . Memory (MB): peak = 2565.645 ; gain = 0.000
  397. relaunch_sim
  398. Command: launch_simulation -step compile -simset sim_1 -mode post-synthesis -type functional
  399. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  400. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  401. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  402. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  403. INFO: [USF-XSim-2] XSim::Compile design
  404. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  405. "xvlog --incr --relax -prj pwm_test_db_vlog.prj"
  406. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  407. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib
  408. INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  409. INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
  410. Command: launch_simulation -step elaborate -simset sim_1 -mode post-synthesis -type functional
  411. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  412. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  413. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  414. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  415. INFO: [USF-XSim-3] XSim::Elaborate design
  416. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  417. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log"
  418. Vivado Simulator v2021.2
  419. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  420. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log
  421. Using 2 slave threads.
  422. Starting static elaboration
  423. Pass Through NonSizing Optimizer
  424. Completed static elaboration
  425. Starting simulation data flow analysis
  426. Completed simulation data flow analysis
  427. Time Resolution for simulation is 1ps
  428. Compiling package std.standard
  429. Compiling package std.textio
  430. Compiling package ieee.std_logic_1164
  431. Compiling package vl.vl_types
  432. Compiling module xil_defaultlib.glbl
  433. Compiling module unisims_ver.BUFG
  434. Compiling module unisims_ver.IBUF
  435. Compiling module unisims_ver.x_lut1_mux2
  436. Compiling module unisims_ver.LUT1(INIT=2'b01)
  437. Compiling module unisims_ver.FDCE_default
  438. Compiling module unisims_ver.CARRY4
  439. Compiling module unisims_ver.OBUF
  440. Compiling module unisims_ver.latchsre_ldce
  441. Compiling module unisims_ver.LDCE
  442. Compiling module unisims_ver.x_lut2_mux4
  443. Compiling module unisims_ver.LUT2
  444. Compiling module xil_defaultlib.pwm_test
  445. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  446. Built simulation snapshot pwm_test_db_func_synth
  447. INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
  448. Time resolution is 1 ps
  449. relaunch_sim: Time (s): cpu = 00:00:02 ; elapsed = 00:00:08 . Memory (MB): peak = 2569.133 ; gain = 3.281
  450. current_wave_config {Untitled 2}
  451. Untitled 2
  452. add_wave {{/pwm_test_db/uut/\count[0]_i_2_n_0 }}
  453. relaunch_sim
  454. Command: launch_simulation -step compile -simset sim_1 -mode post-synthesis -type functional
  455. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  456. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  457. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  458. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  459. INFO: [USF-XSim-2] XSim::Compile design
  460. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  461. "xvlog --incr --relax -prj pwm_test_db_vlog.prj"
  462. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  463. INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
  464. Command: launch_simulation -step elaborate -simset sim_1 -mode post-synthesis -type functional
  465. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  466. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  467. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  468. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  469. INFO: [USF-XSim-3] XSim::Elaborate design
  470. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  471. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log"
  472. Vivado Simulator v2021.2
  473. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  474. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log
  475. Using 2 slave threads.
  476. Starting static elaboration
  477. Pass Through NonSizing Optimizer
  478. Completed static elaboration
  479. INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
  480. INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
  481. Time resolution is 1 ps
  482. relaunch_sim: Time (s): cpu = 00:00:01 ; elapsed = 00:00:07 . Memory (MB): peak = 2569.211 ; gain = 0.000
  483. current_wave_config {Untitled 2}
  484. Untitled 2
  485. add_wave {{/pwm_test_db/uut/\count[0]_i_2_n_0 }}
  486. launch_simulation
  487. Command: launch_simulation
  488. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  489. boost::filesystem::remove: Der Prozess kann nicht auf die Datei zugreifen, da sie von einem anderen Prozess verwendet wird: "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim/simulate.log"
  490. relaunch_sim
  491. Command: launch_simulation -step compile -simset sim_1 -mode post-synthesis -type functional
  492. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  493. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  494. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  495. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  496. INFO: [USF-XSim-2] XSim::Compile design
  497. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  498. "xvlog --incr --relax -prj pwm_test_db_vlog.prj"
  499. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  500. INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
  501. Command: launch_simulation -step elaborate -simset sim_1 -mode post-synthesis -type functional
  502. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  503. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  504. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  505. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  506. INFO: [USF-XSim-3] XSim::Elaborate design
  507. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  508. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log"
  509. Vivado Simulator v2021.2
  510. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  511. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log
  512. Using 2 slave threads.
  513. Starting static elaboration
  514. Pass Through NonSizing Optimizer
  515. Completed static elaboration
  516. INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
  517. INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
  518. Time resolution is 1 ps
  519. relaunch_sim: Time (s): cpu = 00:00:01 ; elapsed = 00:00:07 . Memory (MB): peak = 2635.207 ; gain = 0.000
  520. relaunch_sim
  521. Command: launch_simulation -step compile -simset sim_1 -mode post-synthesis -type functional
  522. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  523. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  524. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  525. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  526. INFO: [USF-XSim-2] XSim::Compile design
  527. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  528. "xvlog --incr --relax -prj pwm_test_db_vlog.prj"
  529. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  530. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib
  531. INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  532. INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
  533. Command: launch_simulation -step elaborate -simset sim_1 -mode post-synthesis -type functional
  534. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  535. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  536. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  537. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  538. INFO: [USF-XSim-3] XSim::Elaborate design
  539. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  540. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log"
  541. Vivado Simulator v2021.2
  542. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  543. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log
  544. Using 2 slave threads.
  545. Starting static elaboration
  546. Pass Through NonSizing Optimizer
  547. Completed static elaboration
  548. Starting simulation data flow analysis
  549. Completed simulation data flow analysis
  550. Time Resolution for simulation is 1ps
  551. Compiling package std.standard
  552. Compiling package std.textio
  553. Compiling package ieee.std_logic_1164
  554. Compiling package vl.vl_types
  555. Compiling module xil_defaultlib.glbl
  556. Compiling module unisims_ver.BUFG
  557. Compiling module unisims_ver.IBUF
  558. Compiling module unisims_ver.x_lut1_mux2
  559. Compiling module unisims_ver.LUT1(INIT=2'b01)
  560. Compiling module unisims_ver.FDCE_default
  561. Compiling module unisims_ver.CARRY4
  562. Compiling module unisims_ver.OBUF
  563. Compiling module unisims_ver.latchsre_ldce
  564. Compiling module unisims_ver.LDCE
  565. Compiling module unisims_ver.x_lut2_mux4
  566. Compiling module unisims_ver.LUT2
  567. Compiling module xil_defaultlib.pwm_test
  568. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  569. Built simulation snapshot pwm_test_db_func_synth
  570. INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
  571. Time resolution is 1 ps
  572. relaunch_sim: Time (s): cpu = 00:00:01 ; elapsed = 00:00:08 . Memory (MB): peak = 2847.305 ; gain = 0.000
  573. current_wave_config {Untitled 2}
  574. Untitled 2
  575. add_wave {{/pwm_test_db/uut/\count_reg[0]_i_1_n_2 }}
  576. relaunch_sim
  577. Command: launch_simulation -step compile -simset sim_1 -mode post-synthesis -type functional
  578. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  579. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  580. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  581. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  582. INFO: [USF-XSim-2] XSim::Compile design
  583. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  584. "xvlog --incr --relax -prj pwm_test_db_vlog.prj"
  585. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  586. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  587. Command: launch_simulation -step elaborate -simset sim_1 -mode post-synthesis -type functional
  588. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  589. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  590. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  591. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  592. INFO: [USF-XSim-3] XSim::Elaborate design
  593. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  594. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log"
  595. Vivado Simulator v2021.2
  596. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  597. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log
  598. Using 2 slave threads.
  599. Starting static elaboration
  600. Pass Through NonSizing Optimizer
  601. Completed static elaboration
  602. INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
  603. INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
  604. Time resolution is 1 ps
  605. relaunch_sim: Time (s): cpu = 00:00:02 ; elapsed = 00:00:07 . Memory (MB): peak = 3078.270 ; gain = 0.000
  606. current_wave_config {Untitled 2}
  607. Untitled 2
  608. add_wave {{/pwm_test_db/uut/\count_reg[0]_i_1_n_1 }}
  609. current_wave_config {Untitled 2}
  610. Untitled 2
  611. add_wave {{/pwm_test_db/uut/\count_reg[0]_i_1_n_3 }}
  612. relaunch_sim
  613. Command: launch_simulation -step compile -simset sim_1 -mode post-synthesis -type functional
  614. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  615. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  616. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  617. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  618. INFO: [USF-XSim-2] XSim::Compile design
  619. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  620. "xvlog --incr --relax -prj pwm_test_db_vlog.prj"
  621. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  622. INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
  623. Command: launch_simulation -step elaborate -simset sim_1 -mode post-synthesis -type functional
  624. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  625. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  626. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  627. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  628. INFO: [USF-XSim-3] XSim::Elaborate design
  629. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  630. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log"
  631. Vivado Simulator v2021.2
  632. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  633. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log
  634. Using 2 slave threads.
  635. Starting static elaboration
  636. Pass Through NonSizing Optimizer
  637. Completed static elaboration
  638. INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
  639. INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
  640. Time resolution is 1 ps
  641. relaunch_sim: Time (s): cpu = 00:00:02 ; elapsed = 00:00:07 . Memory (MB): peak = 3895.711 ; gain = 0.000
  642. current_wave_config {Untitled 2}
  643. Untitled 2
  644. add_wave {{/pwm_test_db/uut}}
  645. relaunch_sim
  646. Command: launch_simulation -step compile -simset sim_1 -mode post-synthesis -type functional
  647. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  648. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  649. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  650. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  651. INFO: [USF-XSim-2] XSim::Compile design
  652. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  653. "xvlog --incr --relax -prj pwm_test_db_vlog.prj"
  654. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  655. INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
  656. Command: launch_simulation -step elaborate -simset sim_1 -mode post-synthesis -type functional
  657. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  658. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  659. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  660. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  661. INFO: [USF-XSim-3] XSim::Elaborate design
  662. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  663. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log"
  664. Vivado Simulator v2021.2
  665. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  666. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log
  667. Using 2 slave threads.
  668. Starting static elaboration
  669. Pass Through NonSizing Optimizer
  670. Completed static elaboration
  671. INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
  672. INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
  673. Time resolution is 1 ps
  674. relaunch_sim: Time (s): cpu = 00:00:01 ; elapsed = 00:00:07 . Memory (MB): peak = 3895.711 ; gain = 0.000
  675. save_wave_config {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/pwm_test_db_func_synth.wcfg}
  676. add_files -fileset sim_1 -norecurse C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/pwm_test_db_func_synth.wcfg
  677. set_property xsim.view C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/pwm_test_db_func_synth.wcfg [get_filesets sim_1]
  678. set_property -name {xsim.simulate.runtime} -value {5000 ms} -objects [get_filesets sim_1]
  679. relaunch_sim
  680. Command: launch_simulation -step compile -simset sim_1 -mode post-synthesis -type functional
  681. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  682. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  683. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  684. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  685. INFO: [USF-XSim-2] XSim::Compile design
  686. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  687. "xvlog --incr --relax -prj pwm_test_db_vlog.prj"
  688. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  689. INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
  690. Command: launch_simulation -step elaborate -simset sim_1 -mode post-synthesis -type functional
  691. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  692. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  693. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  694. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  695. INFO: [USF-XSim-3] XSim::Elaborate design
  696. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  697. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log"
  698. Vivado Simulator v2021.2
  699. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  700. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log
  701. Using 2 slave threads.
  702. Starting static elaboration
  703. Pass Through NonSizing Optimizer
  704. Completed static elaboration
  705. INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
  706. INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
  707. Time resolution is 1 ps
  708. relaunch_sim: Time (s): cpu = 00:00:01 ; elapsed = 00:00:07 . Memory (MB): peak = 3895.711 ; gain = 0.000
  709. relaunch_sim
  710. Command: launch_simulation -step compile -simset sim_1 -mode post-synthesis -type functional
  711. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  712. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  713. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  714. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  715. INFO: [USF-XSim-2] XSim::Compile design
  716. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  717. "xvlog --incr --relax -prj pwm_test_db_vlog.prj"
  718. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  719. INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
  720. Command: launch_simulation -step elaborate -simset sim_1 -mode post-synthesis -type functional
  721. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  722. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  723. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  724. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  725. INFO: [USF-XSim-3] XSim::Elaborate design
  726. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  727. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log"
  728. Vivado Simulator v2021.2
  729. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  730. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log
  731. Using 2 slave threads.
  732. Starting static elaboration
  733. Pass Through NonSizing Optimizer
  734. Completed static elaboration
  735. INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
  736. INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
  737. Time resolution is 1 ps
  738. relaunch_sim: Time (s): cpu = 00:00:01 ; elapsed = 00:00:07 . Memory (MB): peak = 3895.711 ; gain = 0.000
  739. launch_simulation
  740. Command: launch_simulation
  741. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  742. boost::filesystem::remove: Der Prozess kann nicht auf die Datei zugreifen, da sie von einem anderen Prozess verwendet wird: "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim/simulate.log"
  743. relaunch_sim
  744. Command: launch_simulation -step compile -simset sim_1 -mode post-synthesis -type functional
  745. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  746. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  747. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  748. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  749. INFO: [USF-XSim-2] XSim::Compile design
  750. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  751. "xvlog --incr --relax -prj pwm_test_db_vlog.prj"
  752. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  753. INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
  754. Command: launch_simulation -step elaborate -simset sim_1 -mode post-synthesis -type functional
  755. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  756. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  757. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  758. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  759. INFO: [USF-XSim-3] XSim::Elaborate design
  760. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  761. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log"
  762. Vivado Simulator v2021.2
  763. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  764. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log
  765. Using 2 slave threads.
  766. Starting static elaboration
  767. Pass Through NonSizing Optimizer
  768. Completed static elaboration
  769. INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
  770. INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
  771. Time resolution is 1 ps
  772. relaunch_sim: Time (s): cpu = 00:00:02 ; elapsed = 00:00:08 . Memory (MB): peak = 3895.711 ; gain = 0.000
  773. reset_run synth_1
  774. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/utils_1/imports/synth_1/pwm_test.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/pwm_test.dcp
  775. WARNING: [Vivado 12-1017] Problems encountered:
  776. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1
  777. launch_runs synth_1 -jobs 6
  778. [Wed Mar 16 20:50:10 2022] Launched synth_1...
  779. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/runme.log
  780. relaunch_sim
  781. Command: launch_simulation -step compile -simset sim_1 -mode post-synthesis -type functional
  782. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  783. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  784. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  785. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  786. INFO: [USF-XSim-2] XSim::Compile design
  787. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  788. "xvlog --incr --relax -prj pwm_test_db_vlog.prj"
  789. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  790. INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
  791. Command: launch_simulation -step elaborate -simset sim_1 -mode post-synthesis -type functional
  792. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  793. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  794. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  795. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  796. INFO: [USF-XSim-3] XSim::Elaborate design
  797. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  798. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log"
  799. Vivado Simulator v2021.2
  800. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  801. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log
  802. Using 2 slave threads.
  803. Starting static elaboration
  804. Pass Through NonSizing Optimizer
  805. Completed static elaboration
  806. INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
  807. INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
  808. Time resolution is 1 ps
  809. relaunch_sim: Time (s): cpu = 00:00:02 ; elapsed = 00:00:07 . Memory (MB): peak = 3895.711 ; gain = 0.000
  810. relaunch_sim
  811. Command: launch_simulation -step compile -simset sim_1 -mode post-synthesis -type functional
  812. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  813. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  814. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  815. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  816. INFO: [USF-XSim-2] XSim::Compile design
  817. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  818. "xvlog --incr --relax -prj pwm_test_db_vlog.prj"
  819. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  820. INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
  821. Command: launch_simulation -step elaborate -simset sim_1 -mode post-synthesis -type functional
  822. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  823. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  824. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  825. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  826. INFO: [USF-XSim-3] XSim::Elaborate design
  827. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  828. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log"
  829. Vivado Simulator v2021.2
  830. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  831. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log
  832. Using 2 slave threads.
  833. Starting static elaboration
  834. Pass Through NonSizing Optimizer
  835. Completed static elaboration
  836. INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
  837. INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
  838. Time resolution is 1 ps
  839. relaunch_sim: Time (s): cpu = 00:00:01 ; elapsed = 00:00:07 . Memory (MB): peak = 3895.711 ; gain = 0.000
  840. reset_simulation -simset sim_1 -mode behavioral
  841. INFO: [Vivado 12-2266] Removing simulation data...
  842. WARNING: [Vivado 12-3661] Failed to remove file:C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim/pwm_test_behav.wdb
  843. WARNING: [Vivado 12-3661] Failed to remove file:C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim/simulate.log
  844. WARNING: [Vivado 12-3661] Failed to remove file:C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim/xelab.pb
  845. WARNING: [Vivado 12-3661] Failed to remove file:C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim/xsim.dir/pwm_test_behav/xsim.xdbg
  846. WARNING: [Vivado 12-3661] Failed to remove file:C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim/xsim.dir/pwm_test_behav/xsimcrash.log
  847. WARNING: [Vivado 12-3661] Failed to remove file:C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim/xsim.dir/pwm_test_behav/xsimk.exe
  848. WARNING: [Vivado 12-3661] Failed to remove file:C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim/xsim.dir/pwm_test_behav/xsimkernel.log
  849. WARNING: [Vivado 12-4421] Failed to remove directory:C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim/xsim.dir/pwm_test_behav
  850. WARNING: [Vivado 12-4421] Failed to remove directory:C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim/xsim.dir
  851. INFO: [Vivado 12-2267] Reset complete
  852. relaunch_sim
  853. Command: launch_simulation -step compile -simset sim_1 -mode post-synthesis -type functional
  854. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  855. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  856. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  857. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  858. INFO: [USF-XSim-2] XSim::Compile design
  859. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  860. "xvlog --incr --relax -prj pwm_test_db_vlog.prj"
  861. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  862. INFO: [USF-XSim-69] 'compile' step finished in '4' seconds
  863. Command: launch_simulation -step elaborate -simset sim_1 -mode post-synthesis -type functional
  864. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  865. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  866. INFO: [Vivado 12-5682] Launching post-synthesis functional simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  867. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  868. INFO: [USF-XSim-3] XSim::Elaborate design
  869. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/func/xsim'
  870. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log"
  871. Vivado Simulator v2021.2
  872. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  873. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L secureip --snapshot pwm_test_db_func_synth xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log
  874. Using 2 slave threads.
  875. Starting static elaboration
  876. Pass Through NonSizing Optimizer
  877. Completed static elaboration
  878. INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
  879. INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
  880. Time resolution is 1 ps
  881. relaunch_sim: Time (s): cpu = 00:00:01 ; elapsed = 00:00:07 . Memory (MB): peak = 3895.711 ; gain = 0.000
  882. reset_simulation -simset sim_1 -mode post-synthesis -type timing
  883. close_design
  884. launch_simulation -mode post-synthesis -type timing
  885. Command: launch_simulation -mode post-synthesis -type timing
  886. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  887. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  888. INFO: [Vivado 12-5682] Launching post-synthesis timing simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/timing/xsim'
  889. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  890. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  891. Design is defaulting to impl run constrset: constrs_1
  892. Design is defaulting to synth run part: xc7z010clg400-1
  893. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 3895.711 ; gain = 0.000
  894. INFO: [Netlist 29-17] Analyzing 16 Unisim elements for replacement
  895. INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
  896. INFO: [Project 1-479] Netlist was created with Vivado 2021.2
  897. INFO: [Project 1-570] Preparing netlist for logic optimization
  898. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
  899. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3895.711 ; gain = 0.000
  900. INFO: [Project 1-111] Unisim Transformation Summary:
  901. No Unisim elements were transformed.
  902. INFO: [SIM-utils-24] Writing simulation netlist file for design 'synth_1'...
  903. INFO: [SIM-utils-25] write_verilog -mode timesim -nolib -sdf_anno true -force -file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/timing/xsim/pwm_test_db_time_synth.v"
  904. INFO: [SIM-utils-27] Writing SDF file...
  905. INFO: [SIM-utils-28] write_sdf -mode timesim -process_corner slow -force -file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/timing/xsim/pwm_test_db_time_synth.sdf"
  906. INFO: [SIM-utils-36] Netlist generated:C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/timing/xsim/pwm_test_db_time_synth.v
  907. INFO: [SIM-utils-37] SDF generated:C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/timing/xsim/pwm_test_db_time_synth.sdf
  908. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  909. INFO: [USF-XSim-2] XSim::Compile design
  910. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/timing/xsim'
  911. "xvlog --incr --relax -prj pwm_test_db_vlog.prj"
  912. INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/timing/xsim/pwm_test_db_time_synth.v" into library xil_defaultlib
  913. INFO: [VRFC 10-311] analyzing module pwm_test
  914. INFO: [VRFC 10-311] analyzing module glbl
  915. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  916. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib
  917. INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  918. INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
  919. INFO: [USF-XSim-3] XSim::Elaborate design
  920. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/timing/xsim'
  921. "xelab --incr --debug typical --relax --mt 2 --maxdelay -L xil_defaultlib -L simprims_ver -L secureip --snapshot pwm_test_db_time_synth -transport_int_delays -pulse_r 0 -pulse_int_r 0 -pulse_e 0 -pulse_int_e 0 xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log"
  922. Vivado Simulator v2021.2
  923. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  924. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 --maxdelay -L xil_defaultlib -L simprims_ver -L secureip --snapshot pwm_test_db_time_synth -transport_int_delays -pulse_r 0 -pulse_int_r 0 -pulse_e 0 -pulse_int_e 0 xil_defaultlib.pwm_test_db xil_defaultlib.glbl -log elaborate.log
  925. Using 2 slave threads.
  926. Starting static elaboration
  927. Pass Through NonSizing Optimizer
  928. Completed static elaboration
  929. Starting simulation data flow analysis
  930. Completed simulation data flow analysis
  931. INFO: [XSIM 43-3451] SDF backannotation process started with SDF file "pwm_test_db_time_synth.sdf", for root module "pwm_test_db/uut".
  932. INFO: [XSIM 43-3452] SDF backannotation was successful for SDF file "pwm_test_db_time_synth.sdf", for root module "pwm_test_db/uut".
  933. Time Resolution for simulation is 1ps
  934. Compiling package std.standard
  935. Compiling package std.textio
  936. Compiling package ieee.std_logic_1164
  937. Compiling package vl.vl_types
  938. Compiling module xil_defaultlib.glbl
  939. Compiling module simprims_ver.BUFG
  940. Compiling module simprims_ver.IBUF
  941. Compiling module simprims_ver.x_lut1_mux2
  942. Compiling module simprims_ver.LUT1(INIT=2'b01)
  943. Compiling module simprims_ver.FDCE_default
  944. Compiling module simprims_ver.CARRY4
  945. Compiling module simprims_ver.OBUF
  946. Compiling module simprims_ver.latchsre_ldce
  947. Compiling module simprims_ver.LDCE
  948. Compiling module simprims_ver.x_lut2_mux4
  949. Compiling module simprims_ver.LUT2
  950. Compiling module xil_defaultlib.pwm_test
  951. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  952. Built simulation snapshot pwm_test_db_time_synth
  953. run_program: Time (s): cpu = 00:00:00 ; elapsed = 00:00:05 . Memory (MB): peak = 3895.711 ; gain = 0.000
  954. INFO: [USF-XSim-69] 'elaborate' step finished in '5' seconds
  955. INFO: [USF-XSim-4] XSim::Simulate design
  956. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/synth/timing/xsim'
  957. INFO: [USF-XSim-98] *** Running xsim
  958. with args "pwm_test_db_time_synth -key {Post-Synthesis:sim_1:Timing:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  959. INFO: [USF-XSim-8] Loading simulator feature
  960. Time resolution is 1 ps
  961. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/pwm_test_db_func_synth.wcfg
  962. source pwm_test_db.tcl
  963. # set curr_wave [current_wave_config]
  964. # if { [string length $curr_wave] == 0 } {
  965. # if { [llength [get_objects]] > 0} {
  966. # add_wave /
  967. # set_property needs_save false [current_wave_config]
  968. # } else {
  969. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  970. # }
  971. # }
  972. # run 5000 ms
  973. INFO: [Common 17-41] Interrupt caught. Command should exit soon.
  974. run: Time (s): cpu = 00:00:27 ; elapsed = 00:07:42 . Memory (MB): peak = 3895.711 ; gain = 0.000
  975. INFO: [Common 17-344] 'run' was cancelled
  976. INFO: [Common 17-344] 'source' was cancelled
  977. xsim: Time (s): cpu = 00:00:28 ; elapsed = 00:07:44 . Memory (MB): peak = 3895.711 ; gain = 0.000
  978. INFO: [Common 17-344] 'xsim' was cancelled
  979. INFO: [Vivado 12-5357] 'simulate' step aborted
  980. launch_simulation: Time (s): cpu = 00:00:35 ; elapsed = 00:07:56 . Memory (MB): peak = 3895.711 ; gain = 0.000
  981. INFO: [Common 17-344] 'launch_simulation' was cancelled
  982. save_wave_config {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/pwm_test_db_func_synth.wcfg}
  983. close_sim
  984. INFO: xsimkernel Simulation Memory Usage: 20776 KB (Peak: 20776 KB), Simulation CPU Usage: 461827 ms
  985. INFO: [Simtcl 6-16] Simulation closed
  986. current_sim simulation_2
  987. close_sim
  988. INFO: [Simtcl 6-16] Simulation closed
  989. close_sim
  990. INFO: [Simtcl 6-16] Simulation closed
  991. exit
  992. INFO: [Common 17-206] Exiting Vivado at Wed Mar 16 21:11:02 2022...