FPGA Projektarbeit
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

vivado_2148.backup.jou 8.7KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165
  1. #-----------------------------------------------------------
  2. # Vivado v2021.2 (64-bit)
  3. # SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021
  4. # IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021
  5. # Start of session at: Thu Mar 24 14:37:08 2022
  6. # Process ID: 2148
  7. # Current directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test
  8. # Command line: vivado.exe -gui_launcher_event rodinguilauncherevent12260 C:\Users\Felix\OneDrive\Master\Projektarbeit_FPGA\Programme\Coraz7_Test\Coraz7_Test.xpr
  9. # Log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/vivado.log
  10. # Journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test\vivado.jou
  11. # Running On: DESKTOP-PAACOM8, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 16927 MB
  12. #-----------------------------------------------------------
  13. start_gui
  14. open_project C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.xpr
  15. update_compile_order -fileset sources_1
  16. synth_design -rtl -rtl_skip_mlo -name rtl_1
  17. open_run synth_1 -name synth_1
  18. set_property target_constrs_file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Bibliotheken/digilent-xdc-master/Cora-Z7-10-Master.xdc [current_fileset -constrset]
  19. launch_runs impl_1 -jobs 6
  20. wait_on_run impl_1
  21. close_design
  22. open_run impl_1
  23. set_property IOSTANDARD LVCMOS33 [get_ports [list clk]]
  24. set_property IOSTANDARD LVCMOS33 [get_ports [list led]]
  25. place_ports led G14
  26. set_property DRIVE 12 [get_ports [list led]]
  27. set_property IOSTANDARD LVCMOS33 [get_ports [list led]]
  28. set_property OFFCHIP_TERM NONE [get_ports [list clk]]
  29. set_property PULLTYPE NONE [get_ports [list clk]]
  30. set_property IOSTANDARD LVCMOS33 [get_ports [list clk]]
  31. place_ports clk H16
  32. save_constraints
  33. reset_run impl_1
  34. launch_runs impl_1 -to_step write_bitstream -jobs 6
  35. wait_on_run impl_1
  36. open_hw_manager
  37. connect_hw_server -allow_non_jtag
  38. open_hw_target
  39. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  40. current_hw_device [get_hw_devices xc7z010_1]
  41. refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7z010_1] 0]
  42. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  43. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  44. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  45. program_hw_devices [get_hw_devices xc7z010_1]
  46. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]
  47. disconnect_hw_server localhost:3121
  48. connect_hw_server -allow_non_jtag
  49. disconnect_hw_server localhost:3121
  50. connect_hw_server -allow_non_jtag
  51. open_hw_target
  52. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  53. current_hw_device [get_hw_devices xc7z010_1]
  54. refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7z010_1] 0]
  55. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  56. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  57. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  58. program_hw_devices [get_hw_devices xc7z010_1]
  59. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]
  60. reset_run synth_1
  61. launch_runs impl_1 -to_step write_bitstream -jobs 6
  62. wait_on_run impl_1
  63. reset_run impl_1 -prev_step
  64. launch_runs impl_1 -to_step write_bitstream -jobs 6
  65. wait_on_run impl_1
  66. reset_run synth_1
  67. launch_runs impl_1 -to_step write_bitstream -jobs 6
  68. wait_on_run impl_1
  69. reset_run synth_1
  70. launch_runs impl_1 -to_step write_bitstream -jobs 6
  71. wait_on_run impl_1
  72. reset_run synth_1
  73. launch_runs impl_1 -to_step write_bitstream -jobs 6
  74. wait_on_run impl_1
  75. reset_run synth_1
  76. launch_runs impl_1 -to_step write_bitstream -jobs 6
  77. wait_on_run impl_1
  78. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  79. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  80. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  81. program_hw_devices [get_hw_devices xc7z010_1]
  82. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]
  83. reset_run synth_1
  84. launch_runs impl_1 -to_step write_bitstream -jobs 6
  85. wait_on_run impl_1
  86. reset_run synth_1
  87. launch_runs impl_1 -to_step write_bitstream -jobs 6
  88. wait_on_run impl_1
  89. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  90. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  91. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  92. program_hw_devices [get_hw_devices xc7z010_1]
  93. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]
  94. reset_run synth_1
  95. launch_runs impl_1 -to_step write_bitstream -jobs 6
  96. wait_on_run impl_1
  97. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  98. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  99. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  100. program_hw_devices [get_hw_devices xc7z010_1]
  101. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]
  102. reset_run synth_1
  103. launch_runs impl_1 -to_step write_bitstream -jobs 6
  104. wait_on_run impl_1
  105. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  106. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  107. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  108. program_hw_devices [get_hw_devices xc7z010_1]
  109. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]
  110. reset_run synth_1
  111. launch_runs impl_1 -to_step write_bitstream -jobs 6
  112. wait_on_run impl_1
  113. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  114. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  115. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  116. program_hw_devices [get_hw_devices xc7z010_1]
  117. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]
  118. reset_run synth_1
  119. launch_runs synth_1 -jobs 6
  120. wait_on_run synth_1
  121. reset_run synth_1
  122. launch_runs synth_1 -jobs 6
  123. wait_on_run synth_1
  124. reset_run synth_1
  125. launch_runs impl_1 -jobs 6
  126. wait_on_run impl_1
  127. launch_runs impl_1 -to_step write_bitstream -jobs 6
  128. wait_on_run impl_1
  129. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  130. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  131. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  132. program_hw_devices [get_hw_devices xc7z010_1]
  133. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]
  134. reset_run synth_1
  135. launch_runs impl_1 -to_step write_bitstream -jobs 6
  136. wait_on_run impl_1
  137. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  138. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  139. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  140. program_hw_devices [get_hw_devices xc7z010_1]
  141. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]
  142. reset_run synth_1
  143. launch_runs impl_1 -to_step write_bitstream -jobs 6
  144. wait_on_run impl_1
  145. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  146. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  147. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  148. program_hw_devices [get_hw_devices xc7z010_1]
  149. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]
  150. reset_run synth_1
  151. launch_runs impl_1 -to_step write_bitstream -jobs 6
  152. wait_on_run impl_1
  153. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  154. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  155. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  156. program_hw_devices [get_hw_devices xc7z010_1]
  157. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]
  158. reset_run synth_1
  159. launch_runs impl_1 -to_step write_bitstream -jobs 6
  160. wait_on_run impl_1
  161. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  162. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  163. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  164. program_hw_devices [get_hw_devices xc7z010_1]
  165. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]