You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

vivado_18960.backup.log 164KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748749750751752753754755756757758759760761762763764765766767768769770771772773774775776777778779780781782783784785786787788789790791792793794795796797798799800801802803804805806807808809810811812813814815816817818819820821822823824825826827828829830831832833834835836837838839840841842843844845846847848849850851852853854855856857858859860861862863864865866867868869870871872873874875876877878879880881882883884885886887888889890891892893894895896897898899900901902903904905906907908909910911912913914915916917918919920921922923924925926927928929930931932933934935936937938939940941942943944945946947948949950951952953954955956957958959960961962963964965966967968969970971972973974975976977978979980981982983984985986987988989990991992993994995996997998999100010011002100310041005100610071008100910101011101210131014101510161017101810191020102110221023102410251026102710281029103010311032103310341035103610371038103910401041104210431044104510461047104810491050105110521053105410551056105710581059106010611062106310641065106610671068106910701071107210731074107510761077107810791080108110821083108410851086108710881089109010911092109310941095109610971098109911001101110211031104110511061107110811091110111111121113111411151116111711181119112011211122112311241125112611271128112911301131113211331134113511361137113811391140114111421143114411451146114711481149115011511152115311541155115611571158115911601161116211631164116511661167116811691170117111721173117411751176117711781179118011811182118311841185118611871188118911901191119211931194119511961197119811991200120112021203120412051206120712081209121012111212121312141215121612171218121912201221122212231224122512261227122812291230123112321233123412351236123712381239124012411242124312441245124612471248124912501251125212531254125512561257125812591260126112621263126412651266126712681269127012711272127312741275127612771278127912801281128212831284128512861287128812891290129112921293129412951296129712981299130013011302130313041305130613071308130913101311131213131314131513161317131813191320132113221323132413251326132713281329133013311332133313341335133613371338133913401341134213431344134513461347134813491350135113521353135413551356135713581359136013611362136313641365136613671368136913701371137213731374137513761377137813791380138113821383138413851386138713881389139013911392139313941395139613971398139914001401140214031404140514061407140814091410141114121413141414151416141714181419142014211422142314241425142614271428142914301431143214331434143514361437143814391440144114421443144414451446144714481449145014511452145314541455145614571458145914601461146214631464146514661467146814691470147114721473147414751476147714781479148014811482148314841485148614871488148914901491149214931494149514961497149814991500150115021503150415051506150715081509151015111512151315141515151615171518151915201521152215231524152515261527152815291530153115321533153415351536153715381539154015411542154315441545154615471548154915501551155215531554155515561557155815591560156115621563156415651566156715681569157015711572157315741575157615771578157915801581158215831584158515861587158815891590159115921593159415951596159715981599160016011602160316041605160616071608160916101611161216131614161516161617161816191620162116221623162416251626162716281629163016311632163316341635163616371638163916401641164216431644164516461647164816491650165116521653165416551656165716581659166016611662166316641665166616671668166916701671167216731674167516761677167816791680168116821683168416851686168716881689169016911692169316941695169616971698169917001701170217031704170517061707170817091710171117121713171417151716171717181719172017211722172317241725172617271728
  1. #-----------------------------------------------------------
  2. # Vivado v2021.2 (64-bit)
  3. # SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021
  4. # IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021
  5. # Start of session at: Wed May 18 19:29:49 2022
  6. # Process ID: 18960
  7. # Current directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim
  8. # Command line: vivado.exe -gui_launcher_event rodinguilauncherevent3712 C:\Users\Felix\OneDrive\Master\Projektarbeit_FPGA\Programme\Git_Projekte\FPGA_Projekt_Regler\StreckenSim_mitRegler\StreckeSim_counter_working\StreckeSim\Coraz7_Test.xpr
  9. # Log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/vivado.log
  10. # Journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim\vivado.jou
  11. # Running On: DESKTOP-PAACOM8, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 16927 MB
  12. #-----------------------------------------------------------
  13. start_gui
  14. open_project C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.xpr
  15. INFO: [ProjectBase 1-489] The host OS only allows 260 characters in a normal path. The project is stored in a path with more than 80 characters. If you experience issues with IP, Block Designs, or files not being found, please consider moving the project to a location with a shorter path. Alternately consider using the OS subst command to map part of the path to a drive letter.
  16. Current project path is 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim'
  17. WARNING: [Board 49-26] cannot add Board Part digilentinc.com:genesys2:part0:1.1 available at C:/Xilinx/Vivado/2021.2/data/boards/board_files/genesys2/H/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available
  18. WARNING: [Board 49-26] cannot add Board Part digilentinc.com:sword:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/boards/board_files/sword/C.0/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available
  19. WARNING: [Board 49-26] cannot add Board Part xilinx.com:kc705:part0:1.6 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/kc705/1.6/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available
  20. WARNING: [Board 49-26] cannot add Board Part xilinx.com:kcu105:part0:1.6 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/kcu105/1.6/board.xml as part xcku040-ffva1156-2-e specified in board_part file is either invalid or not available
  21. WARNING: [Board 49-26] cannot add Board Part xilinx.com:kcu105:part0:1.7 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/kcu105/1.7/board.xml as part xcku040-ffva1156-2-e specified in board_part file is either invalid or not available
  22. WARNING: [Board 49-26] cannot add Board Part xilinx.com:kcu1500:part0:1.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/kcu1500/1.2/board.xml as part xcku115-flvb2104-2-e specified in board_part file is either invalid or not available
  23. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vc707:part0:1.4 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vc707/1.4/board.xml as part xc7vx485tffg1761-2 specified in board_part file is either invalid or not available
  24. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vc709:part0:1.8 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vc709/1.8/board.xml as part xc7vx690tffg1761-2 specified in board_part file is either invalid or not available
  25. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vck190:part0:2.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vck190/production/2.2/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
  26. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vck190_es:part0:1.3 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vck190/es/1.3/board.xml as part xcvc1902-vsva2197-2mp-e-s-es1 specified in board_part file is either invalid or not available
  27. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu108:part0:1.6 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu108/1.6/board.xml as part xcvu095-ffva2104-2-e specified in board_part file is either invalid or not available
  28. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu108:part0:1.7 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu108/1.7/board.xml as part xcvu095-ffva2104-2-e specified in board_part file is either invalid or not available
  29. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu110:part0:1.4 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu110/1.4/board.xml as part xcvu190-flgc2104-2-e specified in board_part file is either invalid or not available
  30. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu118:part0:2.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu118/2.0/board.xml as part xcvu9p-flga2104-2l-e specified in board_part file is either invalid or not available
  31. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu118:part0:2.3 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu118/2.3/board.xml as part xcvu9p-flga2104-2l-e specified in board_part file is either invalid or not available
  32. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu118:part0:2.4 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu118/2.4/board.xml as part xcvu9p-flga2104-2l-e specified in board_part file is either invalid or not available
  33. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu128:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu128/production/1.0/board.xml as part xcvu37p-fsvh2892-2l-e specified in board_part file is either invalid or not available
  34. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu129:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu129/production/1.0/board.xml as part xcvu29p-fsga2577-2l-e specified in board_part file is either invalid or not available
  35. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu1525:part0:1.3 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu1525/1.3/board.xml as part xcvu9p-fsgd2104-2l-e specified in board_part file is either invalid or not available
  36. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vermeo_t1_mpsoc:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vermeo_t1_mpsoc/1.0/board.xml as part xczu19eg-ffvd1760-2l-e specified in board_part file is either invalid or not available
  37. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vermeo_t1_rfsoc:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vermeo_t1_rfsoc/1.0/board.xml as part xczu21dr-ffvd1156-2l-e specified in board_part file is either invalid or not available
  38. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vmk180:part0:2.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vmk180/production/2.2/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
  39. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vmk180_es:part0:1.3 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vmk180/es/1.3/board.xml as part xcvm1802-vsva2197-2mp-e-s-es1 specified in board_part file is either invalid or not available
  40. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vpk120_es:part0:1.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vpk120/es/1.2/board.xml as part xcvp1202-vsva2785-2mp-e-s-es1 specified in board_part file is either invalid or not available
  41. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zc706:part0:1.4 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zc706/1.4/board.xml as part xc7z045ffg900-2 specified in board_part file is either invalid or not available
  42. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu102:part0:3.3 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu102/3.3/board.xml as part xczu9eg-ffvb1156-2-e specified in board_part file is either invalid or not available
  43. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu102:part0:3.4 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu102/3.4/board.xml as part xczu9eg-ffvb1156-2-e specified in board_part file is either invalid or not available
  44. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu111:part0:1.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu111/1.2/board.xml as part xczu28dr-ffvg1517-2-e specified in board_part file is either invalid or not available
  45. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu111:part0:1.3 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu111/1.3/board.xml as part xczu28dr-ffvg1517-2-e specified in board_part file is either invalid or not available
  46. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu111:part0:1.4 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu111/1.4/board.xml as part xczu28dr-ffvg1517-2-e specified in board_part file is either invalid or not available
  47. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu1275:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu1275/1.0/board.xml as part xczu29dr-ffvf1760-2-e specified in board_part file is either invalid or not available
  48. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu1285:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu1285/1.0/board.xml as part xczu39dr-ffvf1760-2-i specified in board_part file is either invalid or not available
  49. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208:part0:2.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208/production/2.0/board.xml as part xczu48dr-fsvg1517-2-e specified in board_part file is either invalid or not available
  50. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208_es:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208/es/1.0/board.xml as part xczu48dr-fsvg1517-2-e-es1 specified in board_part file is either invalid or not available
  51. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208_es:part0:1.1 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208/es/1.1/board.xml as part xczu48dr-fsvg1517-2-e-es1 specified in board_part file is either invalid or not available
  52. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208_es:part0:1.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208/es/1.2/board.xml as part xczu48dr-fsvg1517-2-e-es1 specified in board_part file is either invalid or not available
  53. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available
  54. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld_es:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/es/1.0/board.xml as part xczu58dr-fsvg1517-2-i-es1 specified in board_part file is either invalid or not available
  55. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216:part0:2.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216/production/2.0/board.xml as part xczu49dr-ffvf1760-2-e specified in board_part file is either invalid or not available
  56. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216_es:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216/es/1.0/board.xml as part xczu49dr-ffvf1760-2-e-es1 specified in board_part file is either invalid or not available
  57. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216_es:part0:1.1 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216/es/1.1/board.xml as part xczu49dr-ffvf1760-2-e-es1 specified in board_part file is either invalid or not available
  58. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216_es:part0:1.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216/es/1.2/board.xml as part xczu49dr-ffvf1760-2-e-es1 specified in board_part file is either invalid or not available
  59. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available
  60. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld_es:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/es/1.0/board.xml as part xczu59dr-ffvf1760-2-i-es1 specified in board_part file is either invalid or not available
  61. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670/1.0/board.xml as part xczu67dr-fsve1156-2-i specified in board_part file is either invalid or not available
  62. INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.gen/sources_1'.
  63. INFO: [BD 41-2613] The output directory c:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.gen/sources_1/bd/design_1 for design_1 cannot be found.
  64. Scanning sources...
  65. Finished scanning sources
  66. INFO: [IP_Flow 19-234] Refreshing IP repositories
  67. INFO: [IP_Flow 19-1704] No user IP repositories specified
  68. INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2021.2/data/ip'.
  69. open_project: Time (s): cpu = 00:00:30 ; elapsed = 00:00:12 . Memory (MB): peak = 1251.465 ; gain = 0.000
  70. update_compile_order -fileset sources_1
  71. reset_run synth_1
  72. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  73. launch_runs synth_1 -jobs 6
  74. [Wed May 18 19:58:12 2022] Launched synth_1...
  75. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  76. launch_simulation
  77. Command: launch_simulation
  78. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  79. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  80. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  81. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  82. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  83. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  84. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  85. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  86. INFO: [USF-XSim-97] Finding global include files...
  87. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  88. INFO: [USF-XSim-2] XSim::Compile design
  89. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  90. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  91. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd" into library xil_defaultlib
  92. INFO: [VRFC 10-3107] analyzing entity 'regler'
  93. INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
  94. INFO: [USF-XSim-3] XSim::Elaborate design
  95. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  96. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  97. Vivado Simulator v2021.2
  98. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  99. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  100. Using 2 slave threads.
  101. Starting static elaboration
  102. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:63]
  103. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:64]
  104. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:65]
  105. Completed static elaboration
  106. Starting simulation data flow analysis
  107. Completed simulation data flow analysis
  108. Time Resolution for simulation is 1ps
  109. Compiling package std.standard
  110. Compiling package std.textio
  111. Compiling package ieee.std_logic_1164
  112. Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default]
  113. Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default]
  114. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  115. Built simulation snapshot pwm_test_db_behav
  116. INFO: [USF-XSim-69] 'elaborate' step finished in '4' seconds
  117. INFO: [USF-XSim-4] XSim::Simulate design
  118. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  119. INFO: [USF-XSim-98] *** Running xsim
  120. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  121. INFO: [USF-XSim-8] Loading simulator feature
  122. Time resolution is 1 ps
  123. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  124. source pwm_test_db.tcl
  125. # set curr_wave [current_wave_config]
  126. # if { [string length $curr_wave] == 0 } {
  127. # if { [llength [get_objects]] > 0} {
  128. # add_wave /
  129. # set_property needs_save false [current_wave_config]
  130. # } else {
  131. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  132. # }
  133. # }
  134. # run 5 s
  135. xsim: Time (s): cpu = 00:00:10 ; elapsed = 00:00:05 . Memory (MB): peak = 1251.465 ; gain = 0.000
  136. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  137. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  138. launch_simulation: Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 1251.465 ; gain = 0.000
  139. close_sim
  140. INFO: [Simtcl 6-16] Simulation closed
  141. launch_simulation
  142. Command: launch_simulation
  143. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  144. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  145. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  146. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  147. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  148. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  149. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  150. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  151. INFO: [USF-XSim-97] Finding global include files...
  152. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  153. INFO: [USF-XSim-2] XSim::Compile design
  154. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  155. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  156. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib
  157. INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  158. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  159. INFO: [USF-XSim-3] XSim::Elaborate design
  160. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  161. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  162. Vivado Simulator v2021.2
  163. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  164. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  165. Using 2 slave threads.
  166. Starting static elaboration
  167. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:63]
  168. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:64]
  169. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:65]
  170. Completed static elaboration
  171. Starting simulation data flow analysis
  172. Completed simulation data flow analysis
  173. Time Resolution for simulation is 1ps
  174. Compiling package std.standard
  175. Compiling package std.textio
  176. Compiling package ieee.std_logic_1164
  177. Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default]
  178. Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default]
  179. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  180. Built simulation snapshot pwm_test_db_behav
  181. INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
  182. INFO: [USF-XSim-4] XSim::Simulate design
  183. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  184. INFO: [USF-XSim-98] *** Running xsim
  185. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  186. INFO: [USF-XSim-8] Loading simulator feature
  187. Time resolution is 1 ps
  188. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  189. source pwm_test_db.tcl
  190. # set curr_wave [current_wave_config]
  191. # if { [string length $curr_wave] == 0 } {
  192. # if { [llength [get_objects]] > 0} {
  193. # add_wave /
  194. # set_property needs_save false [current_wave_config]
  195. # } else {
  196. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  197. # }
  198. # }
  199. # run 5 s
  200. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  201. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  202. launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:09 . Memory (MB): peak = 1251.465 ; gain = 0.000
  203. reset_run synth_1
  204. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  205. WARNING: [Vivado 12-1017] Problems encountered:
  206. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1
  207. launch_runs synth_1 -jobs 6
  208. [Wed May 18 20:04:35 2022] Launched synth_1...
  209. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  210. close_sim
  211. INFO: [Simtcl 6-16] Simulation closed
  212. launch_simulation
  213. Command: launch_simulation
  214. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  215. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  216. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  217. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  218. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  219. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  220. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  221. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  222. INFO: [USF-XSim-97] Finding global include files...
  223. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  224. INFO: [USF-XSim-2] XSim::Compile design
  225. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  226. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  227. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd" into library xil_defaultlib
  228. INFO: [VRFC 10-3107] analyzing entity 'regler'
  229. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  230. INFO: [USF-XSim-3] XSim::Elaborate design
  231. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  232. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  233. Vivado Simulator v2021.2
  234. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  235. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  236. Using 2 slave threads.
  237. Starting static elaboration
  238. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:63]
  239. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:64]
  240. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:65]
  241. Completed static elaboration
  242. Starting simulation data flow analysis
  243. Completed simulation data flow analysis
  244. Time Resolution for simulation is 1ps
  245. Compiling package std.standard
  246. Compiling package std.textio
  247. Compiling package ieee.std_logic_1164
  248. Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default]
  249. Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default]
  250. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  251. Built simulation snapshot pwm_test_db_behav
  252. INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
  253. INFO: [USF-XSim-4] XSim::Simulate design
  254. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  255. INFO: [USF-XSim-98] *** Running xsim
  256. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  257. INFO: [USF-XSim-8] Loading simulator feature
  258. Time resolution is 1 ps
  259. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  260. source pwm_test_db.tcl
  261. # set curr_wave [current_wave_config]
  262. # if { [string length $curr_wave] == 0 } {
  263. # if { [llength [get_objects]] > 0} {
  264. # add_wave /
  265. # set_property needs_save false [current_wave_config]
  266. # } else {
  267. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  268. # }
  269. # }
  270. # run 5 s
  271. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  272. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  273. launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:09 . Memory (MB): peak = 1254.031 ; gain = 0.000
  274. reset_run synth_1
  275. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  276. WARNING: [Vivado 12-1017] Problems encountered:
  277. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1
  278. launch_runs synth_1 -jobs 6
  279. [Wed May 18 20:09:08 2022] Launched synth_1...
  280. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  281. reset_run synth_1
  282. WARNING: [Vivado 12-1017] Problems encountered:
  283. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1
  284. launch_runs synth_1 -jobs 6
  285. [Wed May 18 20:09:25 2022] Launched synth_1...
  286. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  287. close_sim
  288. INFO: [Simtcl 6-16] Simulation closed
  289. launch_simulation
  290. Command: launch_simulation
  291. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  292. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  293. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  294. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  295. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  296. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  297. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  298. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  299. INFO: [USF-XSim-97] Finding global include files...
  300. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  301. INFO: [USF-XSim-2] XSim::Compile design
  302. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  303. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  304. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd" into library xil_defaultlib
  305. INFO: [VRFC 10-3107] analyzing entity 'regler'
  306. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  307. INFO: [USF-XSim-3] XSim::Elaborate design
  308. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  309. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  310. Vivado Simulator v2021.2
  311. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  312. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  313. Using 2 slave threads.
  314. Starting static elaboration
  315. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:63]
  316. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:64]
  317. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:65]
  318. Completed static elaboration
  319. Starting simulation data flow analysis
  320. Completed simulation data flow analysis
  321. Time Resolution for simulation is 1ps
  322. Compiling package std.standard
  323. Compiling package std.textio
  324. Compiling package ieee.std_logic_1164
  325. Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default]
  326. Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default]
  327. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  328. Built simulation snapshot pwm_test_db_behav
  329. INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
  330. INFO: [USF-XSim-4] XSim::Simulate design
  331. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  332. INFO: [USF-XSim-98] *** Running xsim
  333. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  334. INFO: [USF-XSim-8] Loading simulator feature
  335. Time resolution is 1 ps
  336. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  337. source pwm_test_db.tcl
  338. # set curr_wave [current_wave_config]
  339. # if { [string length $curr_wave] == 0 } {
  340. # if { [llength [get_objects]] > 0} {
  341. # add_wave /
  342. # set_property needs_save false [current_wave_config]
  343. # } else {
  344. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  345. # }
  346. # }
  347. # run 5 s
  348. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  349. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  350. launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:09 . Memory (MB): peak = 1255.961 ; gain = 0.000
  351. reset_run synth_1
  352. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  353. WARNING: [Vivado 12-1017] Problems encountered:
  354. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1
  355. launch_runs synth_1 -jobs 6
  356. [Wed May 18 20:13:37 2022] Launched synth_1...
  357. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  358. close_sim
  359. INFO: [Simtcl 6-16] Simulation closed
  360. launch_simulation
  361. Command: launch_simulation
  362. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  363. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  364. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  365. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  366. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  367. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  368. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  369. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  370. INFO: [USF-XSim-97] Finding global include files...
  371. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  372. INFO: [USF-XSim-2] XSim::Compile design
  373. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  374. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  375. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd" into library xil_defaultlib
  376. INFO: [VRFC 10-3107] analyzing entity 'pt1'
  377. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  378. INFO: [USF-XSim-3] XSim::Elaborate design
  379. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  380. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  381. Vivado Simulator v2021.2
  382. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  383. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  384. Using 2 slave threads.
  385. Starting static elaboration
  386. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:63]
  387. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:64]
  388. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:65]
  389. Completed static elaboration
  390. Starting simulation data flow analysis
  391. Completed simulation data flow analysis
  392. Time Resolution for simulation is 1ps
  393. Compiling package std.standard
  394. Compiling package std.textio
  395. Compiling package ieee.std_logic_1164
  396. Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default]
  397. Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default]
  398. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  399. Built simulation snapshot pwm_test_db_behav
  400. INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
  401. INFO: [USF-XSim-4] XSim::Simulate design
  402. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  403. INFO: [USF-XSim-98] *** Running xsim
  404. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  405. INFO: [USF-XSim-8] Loading simulator feature
  406. Time resolution is 1 ps
  407. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  408. source pwm_test_db.tcl
  409. # set curr_wave [current_wave_config]
  410. # if { [string length $curr_wave] == 0 } {
  411. # if { [llength [get_objects]] > 0} {
  412. # add_wave /
  413. # set_property needs_save false [current_wave_config]
  414. # } else {
  415. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  416. # }
  417. # }
  418. # run 5 s
  419. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  420. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  421. launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:09 . Memory (MB): peak = 1257.480 ; gain = 0.000
  422. reset_run synth_1
  423. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  424. WARNING: [Vivado 12-1017] Problems encountered:
  425. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1
  426. launch_runs synth_1 -jobs 6
  427. [Wed May 18 20:15:34 2022] Launched synth_1...
  428. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  429. close_sim
  430. INFO: [Simtcl 6-16] Simulation closed
  431. launch_simulation
  432. Command: launch_simulation
  433. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  434. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  435. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  436. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  437. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  438. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  439. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  440. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  441. INFO: [USF-XSim-97] Finding global include files...
  442. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  443. INFO: [USF-XSim-2] XSim::Compile design
  444. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  445. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  446. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd" into library xil_defaultlib
  447. INFO: [VRFC 10-3107] analyzing entity 'pt1'
  448. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  449. INFO: [USF-XSim-3] XSim::Elaborate design
  450. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  451. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  452. Vivado Simulator v2021.2
  453. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  454. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  455. Using 2 slave threads.
  456. Starting static elaboration
  457. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:63]
  458. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:64]
  459. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:65]
  460. Completed static elaboration
  461. Starting simulation data flow analysis
  462. Completed simulation data flow analysis
  463. Time Resolution for simulation is 1ps
  464. Compiling package std.standard
  465. Compiling package std.textio
  466. Compiling package ieee.std_logic_1164
  467. Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default]
  468. Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default]
  469. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  470. Built simulation snapshot pwm_test_db_behav
  471. INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
  472. INFO: [USF-XSim-4] XSim::Simulate design
  473. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  474. INFO: [USF-XSim-98] *** Running xsim
  475. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  476. INFO: [USF-XSim-8] Loading simulator feature
  477. Time resolution is 1 ps
  478. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  479. source pwm_test_db.tcl
  480. # set curr_wave [current_wave_config]
  481. # if { [string length $curr_wave] == 0 } {
  482. # if { [llength [get_objects]] > 0} {
  483. # add_wave /
  484. # set_property needs_save false [current_wave_config]
  485. # } else {
  486. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  487. # }
  488. # }
  489. # run 5 s
  490. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  491. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  492. launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:08 . Memory (MB): peak = 1257.480 ; gain = 0.000
  493. reset_run synth_1
  494. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  495. WARNING: [Vivado 12-1017] Problems encountered:
  496. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1
  497. launch_runs synth_1 -jobs 6
  498. [Wed May 18 20:19:22 2022] Launched synth_1...
  499. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  500. close_sim
  501. INFO: [Simtcl 6-16] Simulation closed
  502. launch_simulation
  503. Command: launch_simulation
  504. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  505. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  506. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  507. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  508. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  509. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  510. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  511. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  512. INFO: [USF-XSim-97] Finding global include files...
  513. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  514. INFO: [USF-XSim-2] XSim::Compile design
  515. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  516. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  517. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd" into library xil_defaultlib
  518. INFO: [VRFC 10-3107] analyzing entity 'pt1'
  519. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib
  520. INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  521. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  522. INFO: [USF-XSim-3] XSim::Elaborate design
  523. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  524. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  525. Vivado Simulator v2021.2
  526. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  527. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  528. Using 2 slave threads.
  529. Starting static elaboration
  530. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:63]
  531. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:64]
  532. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:65]
  533. Completed static elaboration
  534. Starting simulation data flow analysis
  535. Completed simulation data flow analysis
  536. Time Resolution for simulation is 1ps
  537. Compiling package std.standard
  538. Compiling package std.textio
  539. Compiling package ieee.std_logic_1164
  540. Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default]
  541. Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default]
  542. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  543. Built simulation snapshot pwm_test_db_behav
  544. INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
  545. INFO: [USF-XSim-4] XSim::Simulate design
  546. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  547. INFO: [USF-XSim-98] *** Running xsim
  548. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  549. INFO: [USF-XSim-8] Loading simulator feature
  550. Time resolution is 1 ps
  551. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  552. source pwm_test_db.tcl
  553. # set curr_wave [current_wave_config]
  554. # if { [string length $curr_wave] == 0 } {
  555. # if { [llength [get_objects]] > 0} {
  556. # add_wave /
  557. # set_property needs_save false [current_wave_config]
  558. # } else {
  559. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  560. # }
  561. # }
  562. # run 5 s
  563. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  564. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  565. launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:08 . Memory (MB): peak = 1257.480 ; gain = 0.000
  566. reset_run synth_1
  567. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  568. WARNING: [Vivado 12-1017] Problems encountered:
  569. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1
  570. launch_runs synth_1 -jobs 6
  571. [Wed May 18 20:22:54 2022] Launched synth_1...
  572. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  573. close_sim
  574. INFO: [Simtcl 6-16] Simulation closed
  575. launch_simulation
  576. Command: launch_simulation
  577. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  578. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  579. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  580. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  581. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  582. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  583. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  584. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  585. INFO: [USF-XSim-97] Finding global include files...
  586. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  587. INFO: [USF-XSim-2] XSim::Compile design
  588. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  589. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  590. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd" into library xil_defaultlib
  591. INFO: [VRFC 10-3107] analyzing entity 'pt1'
  592. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd" into library xil_defaultlib
  593. INFO: [VRFC 10-3107] analyzing entity 'regler'
  594. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  595. INFO: [USF-XSim-3] XSim::Elaborate design
  596. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  597. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  598. Vivado Simulator v2021.2
  599. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  600. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  601. Using 2 slave threads.
  602. Starting static elaboration
  603. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:63]
  604. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:64]
  605. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:65]
  606. Completed static elaboration
  607. Starting simulation data flow analysis
  608. Completed simulation data flow analysis
  609. Time Resolution for simulation is 1ps
  610. Compiling package std.standard
  611. Compiling package std.textio
  612. Compiling package ieee.std_logic_1164
  613. Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default]
  614. Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default]
  615. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  616. Built simulation snapshot pwm_test_db_behav
  617. INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
  618. INFO: [USF-XSim-4] XSim::Simulate design
  619. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  620. INFO: [USF-XSim-98] *** Running xsim
  621. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  622. INFO: [USF-XSim-8] Loading simulator feature
  623. Time resolution is 1 ps
  624. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  625. source pwm_test_db.tcl
  626. # set curr_wave [current_wave_config]
  627. # if { [string length $curr_wave] == 0 } {
  628. # if { [llength [get_objects]] > 0} {
  629. # add_wave /
  630. # set_property needs_save false [current_wave_config]
  631. # } else {
  632. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  633. # }
  634. # }
  635. # run 5 s
  636. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  637. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  638. launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:09 . Memory (MB): peak = 1257.480 ; gain = 0.000
  639. close_sim
  640. INFO: [Simtcl 6-16] Simulation closed
  641. launch_simulation
  642. Command: launch_simulation
  643. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  644. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  645. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  646. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  647. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  648. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  649. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  650. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  651. INFO: [USF-XSim-97] Finding global include files...
  652. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  653. INFO: [USF-XSim-2] XSim::Compile design
  654. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  655. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  656. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib
  657. INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  658. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  659. INFO: [USF-XSim-3] XSim::Elaborate design
  660. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  661. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  662. Vivado Simulator v2021.2
  663. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  664. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  665. Using 2 slave threads.
  666. Starting static elaboration
  667. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:63]
  668. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:64]
  669. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:65]
  670. Completed static elaboration
  671. Starting simulation data flow analysis
  672. Completed simulation data flow analysis
  673. Time Resolution for simulation is 1ps
  674. Compiling package std.standard
  675. Compiling package std.textio
  676. Compiling package ieee.std_logic_1164
  677. Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default]
  678. Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default]
  679. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  680. Built simulation snapshot pwm_test_db_behav
  681. INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
  682. INFO: [USF-XSim-4] XSim::Simulate design
  683. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  684. INFO: [USF-XSim-98] *** Running xsim
  685. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  686. INFO: [USF-XSim-8] Loading simulator feature
  687. Time resolution is 1 ps
  688. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  689. source pwm_test_db.tcl
  690. # set curr_wave [current_wave_config]
  691. # if { [string length $curr_wave] == 0 } {
  692. # if { [llength [get_objects]] > 0} {
  693. # add_wave /
  694. # set_property needs_save false [current_wave_config]
  695. # } else {
  696. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  697. # }
  698. # }
  699. # run 5 s
  700. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  701. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  702. launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:08 . Memory (MB): peak = 1257.480 ; gain = 0.000
  703. reset_run synth_1
  704. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  705. WARNING: [Vivado 12-1017] Problems encountered:
  706. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1
  707. launch_runs synth_1 -jobs 6
  708. [Wed May 18 20:30:29 2022] Launched synth_1...
  709. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  710. close_sim
  711. INFO: [Simtcl 6-16] Simulation closed
  712. launch_simulation
  713. Command: launch_simulation
  714. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  715. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  716. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  717. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  718. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  719. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  720. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  721. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  722. INFO: [USF-XSim-97] Finding global include files...
  723. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  724. INFO: [USF-XSim-2] XSim::Compile design
  725. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  726. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  727. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd" into library xil_defaultlib
  728. INFO: [VRFC 10-3107] analyzing entity 'regler'
  729. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  730. INFO: [USF-XSim-3] XSim::Elaborate design
  731. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  732. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  733. Vivado Simulator v2021.2
  734. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  735. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  736. Using 2 slave threads.
  737. Starting static elaboration
  738. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:63]
  739. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:64]
  740. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:65]
  741. Completed static elaboration
  742. Starting simulation data flow analysis
  743. Completed simulation data flow analysis
  744. Time Resolution for simulation is 1ps
  745. Compiling package std.standard
  746. Compiling package std.textio
  747. Compiling package ieee.std_logic_1164
  748. Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default]
  749. Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default]
  750. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  751. Built simulation snapshot pwm_test_db_behav
  752. INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
  753. INFO: [USF-XSim-4] XSim::Simulate design
  754. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  755. INFO: [USF-XSim-98] *** Running xsim
  756. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  757. INFO: [USF-XSim-8] Loading simulator feature
  758. Time resolution is 1 ps
  759. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  760. source pwm_test_db.tcl
  761. # set curr_wave [current_wave_config]
  762. # if { [string length $curr_wave] == 0 } {
  763. # if { [llength [get_objects]] > 0} {
  764. # add_wave /
  765. # set_property needs_save false [current_wave_config]
  766. # } else {
  767. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  768. # }
  769. # }
  770. # run 5 s
  771. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  772. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  773. launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:09 . Memory (MB): peak = 1295.145 ; gain = 20.746
  774. close_sim
  775. INFO: [Simtcl 6-16] Simulation closed
  776. launch_simulation
  777. Command: launch_simulation
  778. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  779. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  780. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  781. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  782. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  783. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  784. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  785. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  786. INFO: [USF-XSim-97] Finding global include files...
  787. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  788. INFO: [USF-XSim-2] XSim::Compile design
  789. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  790. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  791. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib
  792. INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  793. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  794. INFO: [USF-XSim-3] XSim::Elaborate design
  795. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  796. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  797. Vivado Simulator v2021.2
  798. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  799. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  800. Using 2 slave threads.
  801. Starting static elaboration
  802. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:63]
  803. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:64]
  804. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:65]
  805. Completed static elaboration
  806. Starting simulation data flow analysis
  807. Completed simulation data flow analysis
  808. Time Resolution for simulation is 1ps
  809. Compiling package std.standard
  810. Compiling package std.textio
  811. Compiling package ieee.std_logic_1164
  812. Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default]
  813. Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default]
  814. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  815. Built simulation snapshot pwm_test_db_behav
  816. INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
  817. INFO: [USF-XSim-4] XSim::Simulate design
  818. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  819. INFO: [USF-XSim-98] *** Running xsim
  820. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  821. INFO: [USF-XSim-8] Loading simulator feature
  822. Time resolution is 1 ps
  823. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  824. source pwm_test_db.tcl
  825. # set curr_wave [current_wave_config]
  826. # if { [string length $curr_wave] == 0 } {
  827. # if { [llength [get_objects]] > 0} {
  828. # add_wave /
  829. # set_property needs_save false [current_wave_config]
  830. # } else {
  831. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  832. # }
  833. # }
  834. # run 5 s
  835. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  836. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  837. launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:08 . Memory (MB): peak = 1295.742 ; gain = 0.598
  838. set_property -name {xsim.simulate.runtime} -value {10 s} -objects [get_filesets sim_1]
  839. save_wave_config {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg}
  840. close_sim
  841. INFO: [Simtcl 6-16] Simulation closed
  842. launch_simulation
  843. Command: launch_simulation
  844. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  845. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  846. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  847. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  848. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  849. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  850. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  851. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  852. INFO: [USF-XSim-97] Finding global include files...
  853. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  854. INFO: [USF-XSim-2] XSim::Compile design
  855. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  856. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  857. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  858. INFO: [USF-XSim-3] XSim::Elaborate design
  859. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  860. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  861. Vivado Simulator v2021.2
  862. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  863. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  864. Using 2 slave threads.
  865. Starting static elaboration
  866. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:63]
  867. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:64]
  868. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:65]
  869. Completed static elaboration
  870. INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
  871. INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
  872. INFO: [USF-XSim-4] XSim::Simulate design
  873. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  874. INFO: [USF-XSim-98] *** Running xsim
  875. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  876. INFO: [USF-XSim-8] Loading simulator feature
  877. Time resolution is 1 ps
  878. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  879. source pwm_test_db.tcl
  880. # set curr_wave [current_wave_config]
  881. # if { [string length $curr_wave] == 0 } {
  882. # if { [llength [get_objects]] > 0} {
  883. # add_wave /
  884. # set_property needs_save false [current_wave_config]
  885. # } else {
  886. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  887. # }
  888. # }
  889. # run 10 s
  890. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  891. INFO: [USF-XSim-97] XSim simulation ran for 10 s
  892. launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:10 . Memory (MB): peak = 1320.121 ; gain = 0.000
  893. close_sim
  894. INFO: [Simtcl 6-16] Simulation closed
  895. launch_simulation
  896. Command: launch_simulation
  897. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  898. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  899. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  900. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  901. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  902. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  903. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  904. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  905. INFO: [USF-XSim-97] Finding global include files...
  906. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  907. INFO: [USF-XSim-2] XSim::Compile design
  908. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  909. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  910. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd" into library xil_defaultlib
  911. INFO: [VRFC 10-3107] analyzing entity 'pt1'
  912. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd" into library xil_defaultlib
  913. INFO: [VRFC 10-3107] analyzing entity 'regler'
  914. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib
  915. INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  916. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  917. INFO: [USF-XSim-3] XSim::Elaborate design
  918. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  919. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  920. Vivado Simulator v2021.2
  921. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  922. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  923. Using 2 slave threads.
  924. Starting static elaboration
  925. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:63]
  926. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:64]
  927. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:65]
  928. Completed static elaboration
  929. Starting simulation data flow analysis
  930. Completed simulation data flow analysis
  931. Time Resolution for simulation is 1ps
  932. Compiling package std.standard
  933. Compiling package std.textio
  934. Compiling package ieee.std_logic_1164
  935. Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default]
  936. Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default]
  937. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  938. Built simulation snapshot pwm_test_db_behav
  939. INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
  940. INFO: [USF-XSim-4] XSim::Simulate design
  941. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  942. INFO: [USF-XSim-98] *** Running xsim
  943. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  944. INFO: [USF-XSim-8] Loading simulator feature
  945. Time resolution is 1 ps
  946. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  947. source pwm_test_db.tcl
  948. # set curr_wave [current_wave_config]
  949. # if { [string length $curr_wave] == 0 } {
  950. # if { [llength [get_objects]] > 0} {
  951. # add_wave /
  952. # set_property needs_save false [current_wave_config]
  953. # } else {
  954. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  955. # }
  956. # }
  957. # run 10 s
  958. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  959. INFO: [USF-XSim-97] XSim simulation ran for 10 s
  960. launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:10 . Memory (MB): peak = 1381.312 ; gain = 25.016
  961. reset_run synth_1
  962. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  963. WARNING: [Vivado 12-1017] Problems encountered:
  964. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1
  965. launch_runs synth_1 -jobs 6
  966. [Wed May 18 20:50:45 2022] Launched synth_1...
  967. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  968. close_sim
  969. INFO: [Simtcl 6-16] Simulation closed
  970. launch_simulation
  971. Command: launch_simulation
  972. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  973. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  974. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  975. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  976. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  977. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  978. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  979. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  980. INFO: [USF-XSim-97] Finding global include files...
  981. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  982. INFO: [USF-XSim-2] XSim::Compile design
  983. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  984. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  985. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd" into library xil_defaultlib
  986. INFO: [VRFC 10-3107] analyzing entity 'pt1'
  987. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd" into library xil_defaultlib
  988. INFO: [VRFC 10-3107] analyzing entity 'regler'
  989. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib
  990. INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  991. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  992. INFO: [USF-XSim-3] XSim::Elaborate design
  993. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  994. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  995. Vivado Simulator v2021.2
  996. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  997. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  998. Using 2 slave threads.
  999. Starting static elaboration
  1000. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:67]
  1001. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:68]
  1002. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:69]
  1003. Completed static elaboration
  1004. Starting simulation data flow analysis
  1005. Completed simulation data flow analysis
  1006. Time Resolution for simulation is 1ps
  1007. Compiling package std.standard
  1008. Compiling package std.textio
  1009. Compiling package ieee.std_logic_1164
  1010. Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default]
  1011. Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default]
  1012. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  1013. Built simulation snapshot pwm_test_db_behav
  1014. INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
  1015. INFO: [USF-XSim-4] XSim::Simulate design
  1016. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1017. INFO: [USF-XSim-98] *** Running xsim
  1018. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  1019. INFO: [USF-XSim-8] Loading simulator feature
  1020. Time resolution is 1 ps
  1021. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  1022. source pwm_test_db.tcl
  1023. # set curr_wave [current_wave_config]
  1024. # if { [string length $curr_wave] == 0 } {
  1025. # if { [llength [get_objects]] > 0} {
  1026. # add_wave /
  1027. # set_property needs_save false [current_wave_config]
  1028. # } else {
  1029. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  1030. # }
  1031. # }
  1032. # run 10 s
  1033. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  1034. INFO: [USF-XSim-97] XSim simulation ran for 10 s
  1035. launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:10 . Memory (MB): peak = 1388.887 ; gain = 7.539
  1036. reset_run synth_1
  1037. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  1038. WARNING: [Vivado 12-1017] Problems encountered:
  1039. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1
  1040. launch_runs synth_1 -jobs 6
  1041. [Wed May 18 21:06:16 2022] Launched synth_1...
  1042. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  1043. close_sim
  1044. INFO: [Simtcl 6-16] Simulation closed
  1045. launch_simulation
  1046. Command: launch_simulation
  1047. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  1048. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  1049. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1050. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  1051. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  1052. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  1053. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1054. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  1055. INFO: [USF-XSim-97] Finding global include files...
  1056. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  1057. INFO: [USF-XSim-2] XSim::Compile design
  1058. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1059. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  1060. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd" into library xil_defaultlib
  1061. INFO: [VRFC 10-3107] analyzing entity 'pt1'
  1062. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib
  1063. INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  1064. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  1065. INFO: [USF-XSim-3] XSim::Elaborate design
  1066. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1067. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  1068. Vivado Simulator v2021.2
  1069. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  1070. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  1071. Using 2 slave threads.
  1072. Starting static elaboration
  1073. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:67]
  1074. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:68]
  1075. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:69]
  1076. Completed static elaboration
  1077. Starting simulation data flow analysis
  1078. Completed simulation data flow analysis
  1079. Time Resolution for simulation is 1ps
  1080. Compiling package std.standard
  1081. Compiling package std.textio
  1082. Compiling package ieee.std_logic_1164
  1083. Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default]
  1084. Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default]
  1085. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  1086. Built simulation snapshot pwm_test_db_behav
  1087. INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
  1088. INFO: [USF-XSim-4] XSim::Simulate design
  1089. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1090. INFO: [USF-XSim-98] *** Running xsim
  1091. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  1092. INFO: [USF-XSim-8] Loading simulator feature
  1093. Time resolution is 1 ps
  1094. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  1095. source pwm_test_db.tcl
  1096. # set curr_wave [current_wave_config]
  1097. # if { [string length $curr_wave] == 0 } {
  1098. # if { [llength [get_objects]] > 0} {
  1099. # add_wave /
  1100. # set_property needs_save false [current_wave_config]
  1101. # } else {
  1102. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  1103. # }
  1104. # }
  1105. # run 10 s
  1106. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  1107. INFO: [USF-XSim-97] XSim simulation ran for 10 s
  1108. launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:08 . Memory (MB): peak = 1391.688 ; gain = 2.801
  1109. close_sim
  1110. INFO: [Simtcl 6-16] Simulation closed
  1111. launch_simulation
  1112. Command: launch_simulation
  1113. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  1114. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  1115. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1116. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  1117. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  1118. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  1119. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1120. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  1121. INFO: [USF-XSim-97] Finding global include files...
  1122. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  1123. INFO: [USF-XSim-2] XSim::Compile design
  1124. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1125. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  1126. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib
  1127. INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  1128. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  1129. INFO: [USF-XSim-3] XSim::Elaborate design
  1130. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1131. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  1132. Vivado Simulator v2021.2
  1133. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  1134. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  1135. Using 2 slave threads.
  1136. Starting static elaboration
  1137. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:67]
  1138. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:68]
  1139. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:69]
  1140. Completed static elaboration
  1141. Starting simulation data flow analysis
  1142. Completed simulation data flow analysis
  1143. Time Resolution for simulation is 1ps
  1144. Compiling package std.standard
  1145. Compiling package std.textio
  1146. Compiling package ieee.std_logic_1164
  1147. Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default]
  1148. Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default]
  1149. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  1150. Built simulation snapshot pwm_test_db_behav
  1151. INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
  1152. INFO: [USF-XSim-4] XSim::Simulate design
  1153. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1154. INFO: [USF-XSim-98] *** Running xsim
  1155. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  1156. INFO: [USF-XSim-8] Loading simulator feature
  1157. Time resolution is 1 ps
  1158. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  1159. source pwm_test_db.tcl
  1160. # set curr_wave [current_wave_config]
  1161. # if { [string length $curr_wave] == 0 } {
  1162. # if { [llength [get_objects]] > 0} {
  1163. # add_wave /
  1164. # set_property needs_save false [current_wave_config]
  1165. # } else {
  1166. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  1167. # }
  1168. # }
  1169. # run 10 s
  1170. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  1171. INFO: [USF-XSim-97] XSim simulation ran for 10 s
  1172. launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:09 . Memory (MB): peak = 1391.777 ; gain = 0.090
  1173. close_sim
  1174. INFO: [Simtcl 6-16] Simulation closed
  1175. launch_simulation
  1176. Command: launch_simulation
  1177. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  1178. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  1179. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1180. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  1181. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  1182. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  1183. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1184. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  1185. INFO: [USF-XSim-97] Finding global include files...
  1186. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  1187. INFO: [USF-XSim-2] XSim::Compile design
  1188. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1189. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  1190. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib
  1191. INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  1192. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  1193. INFO: [USF-XSim-3] XSim::Elaborate design
  1194. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1195. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  1196. Vivado Simulator v2021.2
  1197. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  1198. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  1199. Using 2 slave threads.
  1200. Starting static elaboration
  1201. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:67]
  1202. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:68]
  1203. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:69]
  1204. Completed static elaboration
  1205. Starting simulation data flow analysis
  1206. Completed simulation data flow analysis
  1207. Time Resolution for simulation is 1ps
  1208. Compiling package std.standard
  1209. Compiling package std.textio
  1210. Compiling package ieee.std_logic_1164
  1211. Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default]
  1212. Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default]
  1213. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  1214. Built simulation snapshot pwm_test_db_behav
  1215. INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
  1216. INFO: [USF-XSim-4] XSim::Simulate design
  1217. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1218. INFO: [USF-XSim-98] *** Running xsim
  1219. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  1220. INFO: [USF-XSim-8] Loading simulator feature
  1221. Time resolution is 1 ps
  1222. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  1223. source pwm_test_db.tcl
  1224. # set curr_wave [current_wave_config]
  1225. # if { [string length $curr_wave] == 0 } {
  1226. # if { [llength [get_objects]] > 0} {
  1227. # add_wave /
  1228. # set_property needs_save false [current_wave_config]
  1229. # } else {
  1230. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  1231. # }
  1232. # }
  1233. # run 10 s
  1234. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  1235. INFO: [USF-XSim-97] XSim simulation ran for 10 s
  1236. launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:09 . Memory (MB): peak = 1392.230 ; gain = 0.430
  1237. close_sim
  1238. INFO: [Simtcl 6-16] Simulation closed
  1239. launch_simulation
  1240. Command: launch_simulation
  1241. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  1242. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  1243. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1244. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  1245. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  1246. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  1247. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1248. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  1249. INFO: [USF-XSim-97] Finding global include files...
  1250. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  1251. INFO: [USF-XSim-2] XSim::Compile design
  1252. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1253. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  1254. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib
  1255. INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  1256. INFO: [USF-XSim-69] 'compile' step finished in '1' seconds
  1257. INFO: [USF-XSim-3] XSim::Elaborate design
  1258. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1259. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  1260. Vivado Simulator v2021.2
  1261. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  1262. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  1263. Using 2 slave threads.
  1264. Starting static elaboration
  1265. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:67]
  1266. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:68]
  1267. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:69]
  1268. Completed static elaboration
  1269. Starting simulation data flow analysis
  1270. Completed simulation data flow analysis
  1271. Time Resolution for simulation is 1ps
  1272. Compiling package std.standard
  1273. Compiling package std.textio
  1274. Compiling package ieee.std_logic_1164
  1275. Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default]
  1276. Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default]
  1277. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  1278. Built simulation snapshot pwm_test_db_behav
  1279. INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
  1280. INFO: [USF-XSim-4] XSim::Simulate design
  1281. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1282. INFO: [USF-XSim-98] *** Running xsim
  1283. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  1284. INFO: [USF-XSim-8] Loading simulator feature
  1285. Time resolution is 1 ps
  1286. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  1287. source pwm_test_db.tcl
  1288. # set curr_wave [current_wave_config]
  1289. # if { [string length $curr_wave] == 0 } {
  1290. # if { [llength [get_objects]] > 0} {
  1291. # add_wave /
  1292. # set_property needs_save false [current_wave_config]
  1293. # } else {
  1294. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  1295. # }
  1296. # }
  1297. # run 10 s
  1298. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  1299. INFO: [USF-XSim-97] XSim simulation ran for 10 s
  1300. launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:08 . Memory (MB): peak = 1393.195 ; gain = 0.777
  1301. close_sim
  1302. INFO: [Simtcl 6-16] Simulation closed
  1303. launch_simulation
  1304. Command: launch_simulation
  1305. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  1306. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  1307. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1308. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  1309. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  1310. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  1311. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1312. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  1313. INFO: [USF-XSim-97] Finding global include files...
  1314. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  1315. INFO: [USF-XSim-2] XSim::Compile design
  1316. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1317. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  1318. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib
  1319. INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  1320. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  1321. INFO: [USF-XSim-3] XSim::Elaborate design
  1322. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1323. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  1324. Vivado Simulator v2021.2
  1325. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  1326. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  1327. Using 2 slave threads.
  1328. Starting static elaboration
  1329. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:67]
  1330. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:68]
  1331. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:69]
  1332. Completed static elaboration
  1333. Starting simulation data flow analysis
  1334. Completed simulation data flow analysis
  1335. Time Resolution for simulation is 1ps
  1336. Compiling package std.standard
  1337. Compiling package std.textio
  1338. Compiling package ieee.std_logic_1164
  1339. Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default]
  1340. Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default]
  1341. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  1342. Built simulation snapshot pwm_test_db_behav
  1343. INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
  1344. INFO: [USF-XSim-4] XSim::Simulate design
  1345. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1346. INFO: [USF-XSim-98] *** Running xsim
  1347. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  1348. INFO: [USF-XSim-8] Loading simulator feature
  1349. Time resolution is 1 ps
  1350. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  1351. source pwm_test_db.tcl
  1352. # set curr_wave [current_wave_config]
  1353. # if { [string length $curr_wave] == 0 } {
  1354. # if { [llength [get_objects]] > 0} {
  1355. # add_wave /
  1356. # set_property needs_save false [current_wave_config]
  1357. # } else {
  1358. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  1359. # }
  1360. # }
  1361. # run 10 s
  1362. ERROR: File: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd Line: 118 : Division by zero is not allowed.
  1363. Time: 986 us Iteration: 1 Process: /pwm_test_db/uut_regler/line__91
  1364. File: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd
  1365. HDL Line: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:118
  1366. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  1367. INFO: [USF-XSim-97] XSim simulation ran for 10 s
  1368. launch_simulation: Time (s): cpu = 00:00:03 ; elapsed = 00:00:06 . Memory (MB): peak = 1393.648 ; gain = 0.453
  1369. reset_run synth_1
  1370. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  1371. WARNING: [Vivado 12-1017] Problems encountered:
  1372. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1
  1373. launch_runs synth_1 -jobs 6
  1374. [Wed May 18 21:16:15 2022] Launched synth_1...
  1375. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  1376. close_sim
  1377. INFO: [Simtcl 6-16] Simulation closed
  1378. launch_simulation
  1379. Command: launch_simulation
  1380. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  1381. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  1382. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1383. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  1384. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  1385. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  1386. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1387. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  1388. INFO: [USF-XSim-97] Finding global include files...
  1389. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  1390. INFO: [USF-XSim-2] XSim::Compile design
  1391. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1392. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  1393. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd" into library xil_defaultlib
  1394. INFO: [VRFC 10-3107] analyzing entity 'regler'
  1395. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib
  1396. INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  1397. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  1398. INFO: [USF-XSim-3] XSim::Elaborate design
  1399. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1400. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  1401. Vivado Simulator v2021.2
  1402. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  1403. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  1404. Using 2 slave threads.
  1405. Starting static elaboration
  1406. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:67]
  1407. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:68]
  1408. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:69]
  1409. Completed static elaboration
  1410. Starting simulation data flow analysis
  1411. Completed simulation data flow analysis
  1412. Time Resolution for simulation is 1ps
  1413. Compiling package std.standard
  1414. Compiling package std.textio
  1415. Compiling package ieee.std_logic_1164
  1416. Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default]
  1417. Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default]
  1418. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  1419. Built simulation snapshot pwm_test_db_behav
  1420. INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
  1421. INFO: [USF-XSim-4] XSim::Simulate design
  1422. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1423. INFO: [USF-XSim-98] *** Running xsim
  1424. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  1425. INFO: [USF-XSim-8] Loading simulator feature
  1426. Time resolution is 1 ps
  1427. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  1428. source pwm_test_db.tcl
  1429. # set curr_wave [current_wave_config]
  1430. # if { [string length $curr_wave] == 0 } {
  1431. # if { [llength [get_objects]] > 0} {
  1432. # add_wave /
  1433. # set_property needs_save false [current_wave_config]
  1434. # } else {
  1435. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  1436. # }
  1437. # }
  1438. # run 10 s
  1439. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  1440. INFO: [USF-XSim-97] XSim simulation ran for 10 s
  1441. launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:09 . Memory (MB): peak = 1394.277 ; gain = 0.629
  1442. close_sim
  1443. INFO: [Simtcl 6-16] Simulation closed
  1444. launch_simulation
  1445. Command: launch_simulation
  1446. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  1447. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  1448. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1449. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  1450. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  1451. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  1452. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1453. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  1454. INFO: [USF-XSim-97] Finding global include files...
  1455. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  1456. INFO: [USF-XSim-2] XSim::Compile design
  1457. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1458. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  1459. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib
  1460. INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  1461. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  1462. INFO: [USF-XSim-3] XSim::Elaborate design
  1463. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1464. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  1465. Vivado Simulator v2021.2
  1466. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  1467. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  1468. Using 2 slave threads.
  1469. Starting static elaboration
  1470. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:67]
  1471. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:68]
  1472. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:69]
  1473. Completed static elaboration
  1474. Starting simulation data flow analysis
  1475. Completed simulation data flow analysis
  1476. Time Resolution for simulation is 1ps
  1477. Compiling package std.standard
  1478. Compiling package std.textio
  1479. Compiling package ieee.std_logic_1164
  1480. Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default]
  1481. Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default]
  1482. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  1483. Built simulation snapshot pwm_test_db_behav
  1484. INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
  1485. INFO: [USF-XSim-4] XSim::Simulate design
  1486. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1487. INFO: [USF-XSim-98] *** Running xsim
  1488. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  1489. INFO: [USF-XSim-8] Loading simulator feature
  1490. Time resolution is 1 ps
  1491. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  1492. source pwm_test_db.tcl
  1493. # set curr_wave [current_wave_config]
  1494. # if { [string length $curr_wave] == 0 } {
  1495. # if { [llength [get_objects]] > 0} {
  1496. # add_wave /
  1497. # set_property needs_save false [current_wave_config]
  1498. # } else {
  1499. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  1500. # }
  1501. # }
  1502. # run 10 s
  1503. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  1504. INFO: [USF-XSim-97] XSim simulation ran for 10 s
  1505. launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:08 . Memory (MB): peak = 1394.953 ; gain = 0.676
  1506. reset_run synth_1
  1507. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  1508. WARNING: [Vivado 12-1017] Problems encountered:
  1509. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1
  1510. launch_runs synth_1 -jobs 6
  1511. [Wed May 18 21:23:02 2022] Launched synth_1...
  1512. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  1513. close_sim
  1514. INFO: [Simtcl 6-16] Simulation closed
  1515. launch_simulation
  1516. Command: launch_simulation
  1517. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  1518. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  1519. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1520. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  1521. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  1522. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  1523. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1524. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  1525. INFO: [USF-XSim-97] Finding global include files...
  1526. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  1527. INFO: [USF-XSim-2] XSim::Compile design
  1528. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1529. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  1530. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd" into library xil_defaultlib
  1531. INFO: [VRFC 10-3107] analyzing entity 'pt1'
  1532. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd" into library xil_defaultlib
  1533. INFO: [VRFC 10-3107] analyzing entity 'regler'
  1534. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  1535. INFO: [USF-XSim-3] XSim::Elaborate design
  1536. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1537. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  1538. Vivado Simulator v2021.2
  1539. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  1540. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  1541. Using 2 slave threads.
  1542. Starting static elaboration
  1543. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:67]
  1544. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:68]
  1545. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:69]
  1546. Completed static elaboration
  1547. Starting simulation data flow analysis
  1548. Completed simulation data flow analysis
  1549. Time Resolution for simulation is 1ps
  1550. Compiling package std.standard
  1551. Compiling package std.textio
  1552. Compiling package ieee.std_logic_1164
  1553. Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default]
  1554. Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default]
  1555. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  1556. Built simulation snapshot pwm_test_db_behav
  1557. INFO: [USF-XSim-69] 'elaborate' step finished in '4' seconds
  1558. INFO: [USF-XSim-4] XSim::Simulate design
  1559. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1560. INFO: [USF-XSim-98] *** Running xsim
  1561. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  1562. INFO: [USF-XSim-8] Loading simulator feature
  1563. Time resolution is 1 ps
  1564. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  1565. source pwm_test_db.tcl
  1566. # set curr_wave [current_wave_config]
  1567. # if { [string length $curr_wave] == 0 } {
  1568. # if { [llength [get_objects]] > 0} {
  1569. # add_wave /
  1570. # set_property needs_save false [current_wave_config]
  1571. # } else {
  1572. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  1573. # }
  1574. # }
  1575. # run 10 s
  1576. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  1577. INFO: [USF-XSim-97] XSim simulation ran for 10 s
  1578. launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 1395.184 ; gain = 0.207
  1579. reset_run synth_1
  1580. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  1581. WARNING: [Vivado 12-1017] Problems encountered:
  1582. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1
  1583. close_sim
  1584. INFO: [Simtcl 6-16] Simulation closed
  1585. launch_simulation
  1586. Command: launch_simulation
  1587. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  1588. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  1589. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1590. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  1591. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  1592. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  1593. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1594. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  1595. INFO: [USF-XSim-97] Finding global include files...
  1596. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  1597. INFO: [USF-XSim-2] XSim::Compile design
  1598. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1599. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  1600. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib
  1601. INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  1602. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  1603. INFO: [USF-XSim-3] XSim::Elaborate design
  1604. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1605. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  1606. Vivado Simulator v2021.2
  1607. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  1608. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  1609. Using 2 slave threads.
  1610. Starting static elaboration
  1611. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:67]
  1612. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:68]
  1613. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:69]
  1614. Completed static elaboration
  1615. Starting simulation data flow analysis
  1616. Completed simulation data flow analysis
  1617. Time Resolution for simulation is 1ps
  1618. Compiling package std.standard
  1619. Compiling package std.textio
  1620. Compiling package ieee.std_logic_1164
  1621. Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default]
  1622. Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default]
  1623. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  1624. Built simulation snapshot pwm_test_db_behav
  1625. INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
  1626. INFO: [USF-XSim-4] XSim::Simulate design
  1627. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1628. INFO: [USF-XSim-98] *** Running xsim
  1629. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  1630. INFO: [USF-XSim-8] Loading simulator feature
  1631. Time resolution is 1 ps
  1632. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  1633. source pwm_test_db.tcl
  1634. # set curr_wave [current_wave_config]
  1635. # if { [string length $curr_wave] == 0 } {
  1636. # if { [llength [get_objects]] > 0} {
  1637. # add_wave /
  1638. # set_property needs_save false [current_wave_config]
  1639. # } else {
  1640. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  1641. # }
  1642. # }
  1643. # run 10 s
  1644. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  1645. INFO: [USF-XSim-97] XSim simulation ran for 10 s
  1646. launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:08 . Memory (MB): peak = 1396.844 ; gain = 0.105
  1647. close_sim
  1648. INFO: [Simtcl 6-16] Simulation closed
  1649. launch_simulation
  1650. Command: launch_simulation
  1651. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  1652. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  1653. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1654. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  1655. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  1656. INFO: [USF-XSim-7] Finding pre-compiled libraries...
  1657. INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1658. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  1659. INFO: [USF-XSim-97] Finding global include files...
  1660. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  1661. INFO: [USF-XSim-2] XSim::Compile design
  1662. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1663. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  1664. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib
  1665. INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  1666. INFO: [USF-XSim-69] 'compile' step finished in '1' seconds
  1667. INFO: [USF-XSim-3] XSim::Elaborate design
  1668. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1669. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  1670. Vivado Simulator v2021.2
  1671. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  1672. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  1673. Using 2 slave threads.
  1674. Starting static elaboration
  1675. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:67]
  1676. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:68]
  1677. WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:69]
  1678. Completed static elaboration
  1679. Starting simulation data flow analysis
  1680. Completed simulation data flow analysis
  1681. Time Resolution for simulation is 1ps
  1682. Compiling package std.standard
  1683. Compiling package std.textio
  1684. Compiling package ieee.std_logic_1164
  1685. Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default]
  1686. Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default]
  1687. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  1688. Built simulation snapshot pwm_test_db_behav
  1689. INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
  1690. INFO: [USF-XSim-4] XSim::Simulate design
  1691. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1692. INFO: [USF-XSim-98] *** Running xsim
  1693. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  1694. INFO: [USF-XSim-8] Loading simulator feature
  1695. Time resolution is 1 ps
  1696. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  1697. source pwm_test_db.tcl
  1698. # set curr_wave [current_wave_config]
  1699. # if { [string length $curr_wave] == 0 } {
  1700. # if { [llength [get_objects]] > 0} {
  1701. # add_wave /
  1702. # set_property needs_save false [current_wave_config]
  1703. # } else {
  1704. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  1705. # }
  1706. # }
  1707. # run 10 s
  1708. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  1709. INFO: [USF-XSim-97] XSim simulation ran for 10 s
  1710. launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:09 . Memory (MB): peak = 1408.062 ; gain = 11.219
  1711. close_sim
  1712. INFO: [Simtcl 6-16] Simulation closed
  1713. exit
  1714. INFO: [Common 17-206] Exiting Vivado at Wed May 18 21:41:31 2022...