123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275 |
- <?xml version="1.0" encoding="UTF-8"?>
- <!-- Product Version: Vivado v2021.2 (64-bit) -->
- <!-- -->
- <!-- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -->
-
- <Project Version="7" Minor="56" Path="C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.xpr">
- <DefaultLaunch Dir="$PRUNDIR"/>
- <Configuration>
- <Option Name="Id" Val="fe9f01c3de714c74922630b435e922b1"/>
- <Option Name="Part" Val="xc7z010clg400-1"/>
- <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
- <Option Name="CompiledLibDirXSim" Val=""/>
- <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>
- <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>
- <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/>
- <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>
- <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>
- <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>
- <Option Name="SimulatorInstallDirModelSim" Val=""/>
- <Option Name="SimulatorInstallDirQuesta" Val=""/>
- <Option Name="SimulatorInstallDirXcelium" Val=""/>
- <Option Name="SimulatorInstallDirVCS" Val=""/>
- <Option Name="SimulatorInstallDirRiviera" Val=""/>
- <Option Name="SimulatorInstallDirActiveHdl" Val=""/>
- <Option Name="SimulatorGccInstallDirModelSim" Val=""/>
- <Option Name="SimulatorGccInstallDirQuesta" Val=""/>
- <Option Name="SimulatorGccInstallDirXcelium" Val=""/>
- <Option Name="SimulatorGccInstallDirVCS" Val=""/>
- <Option Name="SimulatorGccInstallDirRiviera" Val=""/>
- <Option Name="SimulatorGccInstallDirActiveHdl" Val=""/>
- <Option Name="SimulatorVersionXsim" Val="2021.2"/>
- <Option Name="SimulatorVersionModelSim" Val="2020.4"/>
- <Option Name="SimulatorVersionQuesta" Val="2020.4"/>
- <Option Name="SimulatorVersionXcelium" Val="20.09.006"/>
- <Option Name="SimulatorVersionVCS" Val="R-2020.12"/>
- <Option Name="SimulatorVersionRiviera" Val="2020.10"/>
- <Option Name="SimulatorVersionActiveHdl" Val="12.0"/>
- <Option Name="SimulatorGccVersionXsim" Val="6.2.0"/>
- <Option Name="SimulatorGccVersionModelSim" Val="5.3.0"/>
- <Option Name="SimulatorGccVersionQuesta" Val="5.3.0"/>
- <Option Name="SimulatorGccVersionXcelium" Val="6.3"/>
- <Option Name="SimulatorGccVersionVCS" Val="6.2.0"/>
- <Option Name="SimulatorGccVersionRiviera" Val="6.2.0"/>
- <Option Name="SimulatorGccVersionActiveHdl" Val="6.2.0"/>
- <Option Name="BoardPart" Val="digilentinc.com:cora-z7-10:part0:1.1"/>
- <Option Name="BoardPartRepoPaths" Val="$PPRDIR/../../../../../../../../AppData/Roaming/Xilinx/Vivado/2021.2/xhub/board_store/xilinx_board_store"/>
- <Option Name="ActiveSimSet" Val="sim_1"/>
- <Option Name="DefaultLib" Val="xil_defaultlib"/>
- <Option Name="ProjectType" Val="Default"/>
- <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/>
- <Option Name="IPDefaultOutputPath" Val="$PGENDIR/sources_1"/>
- <Option Name="IPCachePermission" Val="read"/>
- <Option Name="IPCachePermission" Val="write"/>
- <Option Name="EnableCoreContainer" Val="FALSE"/>
- <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>
- <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/>
- <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
- <Option Name="EnableBDX" Val="FALSE"/>
- <Option Name="DSABoardId" Val="cora-z7-10"/>
- <Option Name="WTXSimLaunchSim" Val="6"/>
- <Option Name="WTModelSimLaunchSim" Val="0"/>
- <Option Name="WTQuestaLaunchSim" Val="0"/>
- <Option Name="WTIesLaunchSim" Val="0"/>
- <Option Name="WTVcsLaunchSim" Val="0"/>
- <Option Name="WTRivieraLaunchSim" Val="0"/>
- <Option Name="WTActivehdlLaunchSim" Val="0"/>
- <Option Name="WTXSimExportSim" Val="0"/>
- <Option Name="WTModelSimExportSim" Val="0"/>
- <Option Name="WTQuestaExportSim" Val="0"/>
- <Option Name="WTIesExportSim" Val="0"/>
- <Option Name="WTVcsExportSim" Val="0"/>
- <Option Name="WTRivieraExportSim" Val="0"/>
- <Option Name="WTActivehdlExportSim" Val="0"/>
- <Option Name="GenerateIPUpgradeLog" Val="TRUE"/>
- <Option Name="XSimRadix" Val="hex"/>
- <Option Name="XSimTimeUnit" Val="ns"/>
- <Option Name="XSimArrayDisplayLimit" Val="1024"/>
- <Option Name="XSimTraceLimit" Val="65536"/>
- <Option Name="SimTypes" Val="rtl"/>
- <Option Name="SimTypes" Val="bfm"/>
- <Option Name="SimTypes" Val="tlm"/>
- <Option Name="SimTypes" Val="tlm_dpi"/>
- <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/>
- <Option Name="DcpsUptoDate" Val="TRUE"/>
- <Option Name="ClassicSocBoot" Val="FALSE"/>
- </Configuration>
- <FileSets Version="1" Minor="31">
- <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
- <Filter Type="Srcs"/>
- <File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_float_types.vhdl">
- <FileInfo SFType="VHDL2008">
- <Attr Name="Library" Val="ieee_proposed"/>
- <Attr Name="ImportPath" Val="$PPRDIR/../../../../Bibliotheken/fixedPoint/fixed_float_types.vhdl"/>
- <Attr Name="ImportTime" Val="1652436395"/>
- <Attr Name="UsedIn" Val="synthesis"/>
- <Attr Name="UsedIn" Val="simulation"/>
- </FileInfo>
- </File>
- <File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_generic_pkg.vhdl">
- <FileInfo SFType="VHDL2008">
- <Attr Name="Library" Val="ieee_proposed"/>
- <Attr Name="ImportPath" Val="$PPRDIR/../../../../Bibliotheken/fixedPoint/fixed_generic_pkg.vhdl"/>
- <Attr Name="ImportTime" Val="1652436398"/>
- <Attr Name="UsedIn" Val="synthesis"/>
- <Attr Name="UsedIn" Val="simulation"/>
- </FileInfo>
- </File>
- <File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_generic_pkg-body.vhdl">
- <FileInfo SFType="VHDL2008">
- <Attr Name="Library" Val="ieee_proposed"/>
- <Attr Name="ImportPath" Val="$PPRDIR/../../../../Bibliotheken/fixedPoint/fixed_generic_pkg-body.vhdl"/>
- <Attr Name="ImportTime" Val="1652436400"/>
- <Attr Name="UsedIn" Val="synthesis"/>
- <Attr Name="UsedIn" Val="simulation"/>
- </FileInfo>
- </File>
- <File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_pkg.vhdl">
- <FileInfo SFType="VHDL2008">
- <Attr Name="Library" Val="ieee_proposed"/>
- <Attr Name="ImportPath" Val="$PPRDIR/../../../../Bibliotheken/fixedPoint/fixed_pkg.vhdl"/>
- <Attr Name="ImportTime" Val="1652436402"/>
- <Attr Name="UsedIn" Val="synthesis"/>
- <Attr Name="UsedIn" Val="simulation"/>
- </FileInfo>
- </File>
- <File Path="$PSRCDIR/sources_1/new/fixedPointTest.vhd">
- <FileInfo SFType="VHDL2008">
- <Attr Name="UsedIn" Val="synthesis"/>
- <Attr Name="UsedIn" Val="simulation"/>
- </FileInfo>
- </File>
- <Config>
- <Option Name="DesignMode" Val="RTL"/>
- <Option Name="TopModule" Val="fixedPointTest"/>
- <Option Name="TopAutoSet" Val="TRUE"/>
- </Config>
- </FileSet>
- <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1">
- <Filter Type="Constrs"/>
- <File Path="$PSRCDIR/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc">
- <FileInfo>
- <Attr Name="ImportPath" Val="$PPRDIR/../../../../Bibliotheken/digilent-xdc-master/Cora-Z7-10-Master.xdc"/>
- <Attr Name="ImportTime" Val="1648802911"/>
- <Attr Name="UsedIn" Val="synthesis"/>
- <Attr Name="UsedIn" Val="implementation"/>
- </FileInfo>
- </File>
- <Config>
- <Option Name="ConstrsType" Val="XDC"/>
- </Config>
- </FileSet>
- <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1">
- <Filter Type="Srcs"/>
- <File Path="$PSRCDIR/sim_1/new/fixedPointTest_db.vhd">
- <FileInfo SFType="VHDL2008">
- <Attr Name="UsedIn" Val="synthesis"/>
- <Attr Name="UsedIn" Val="simulation"/>
- </FileInfo>
- </File>
- <File Path="$PPRDIR/fixedPointTest_db_behav.wcfg">
- <FileInfo>
- <Attr Name="UsedIn" Val="simulation"/>
- </FileInfo>
- </File>
- <Config>
- <Option Name="DesignMode" Val="RTL"/>
- <Option Name="TopModule" Val="fixedPointTest_db"/>
- <Option Name="TopLib" Val="xil_defaultlib"/>
- <Option Name="TopAutoSet" Val="TRUE"/>
- <Option Name="TransportPathDelay" Val="0"/>
- <Option Name="TransportIntDelay" Val="0"/>
- <Option Name="SelectedSimModel" Val="rtl"/>
- <Option Name="PamDesignTestbench" Val=""/>
- <Option Name="PamDutBypassFile" Val="xil_dut_bypass"/>
- <Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/>
- <Option Name="PamPseudoTop" Val="pseudo_tb"/>
- <Option Name="SrcSet" Val="sources_1"/>
- <Option Name="XSimWcfgFile" Val="$PPRDIR/fixedPointTest_db_behav.wcfg"/>
- </Config>
- </FileSet>
- <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
- <Filter Type="Utils"/>
- <File Path="$PSRCDIR/utils_1/imports/synth_1/fixedPointTest.dcp">
- <FileInfo>
- <Attr Name="UsedIn" Val="synthesis"/>
- <Attr Name="UsedIn" Val="implementation"/>
- <Attr Name="UsedInSteps" Val="synth_1"/>
- <Attr Name="AutoDcp" Val="1"/>
- </FileInfo>
- </File>
- <Config>
- <Option Name="TopAutoSet" Val="TRUE"/>
- </Config>
- </FileSet>
- </FileSets>
- <Simulators>
- <Simulator Name="XSim">
- <Option Name="Description" Val="Vivado Simulator"/>
- <Option Name="CompiledLib" Val="0"/>
- </Simulator>
- <Simulator Name="ModelSim">
- <Option Name="Description" Val="ModelSim Simulator"/>
- </Simulator>
- <Simulator Name="Questa">
- <Option Name="Description" Val="Questa Advanced Simulator"/>
- </Simulator>
- <Simulator Name="Riviera">
- <Option Name="Description" Val="Riviera-PRO Simulator"/>
- </Simulator>
- <Simulator Name="ActiveHDL">
- <Option Name="Description" Val="Active-HDL Simulator"/>
- </Simulator>
- </Simulators>
- <Runs Version="1" Minor="15">
- <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7z010clg400-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="true" IncrementalCheckpoint="$PSRCDIR/utils_1/imports/synth_1/fixedPointTest.dcp" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1">
- <Strategy Version="1" Minor="2">
- <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2021"/>
- <Step Id="synth_design"/>
- </Strategy>
- <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
- <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2021"/>
- <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
- <RQSFiles/>
- </Run>
- <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7z010clg400-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1">
- <Strategy Version="1" Minor="2">
- <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2021"/>
- <Step Id="init_design"/>
- <Step Id="opt_design"/>
- <Step Id="power_opt_design"/>
- <Step Id="place_design"/>
- <Step Id="post_place_power_opt_design"/>
- <Step Id="phys_opt_design"/>
- <Step Id="route_design"/>
- <Step Id="post_route_phys_opt_design"/>
- <Step Id="write_bitstream"/>
- </Strategy>
- <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2021"/>
- <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
- <RQSFiles/>
- </Run>
- </Runs>
- <Board>
- <Jumpers/>
- </Board>
- <DashboardSummary Version="1" Minor="0">
- <Dashboards>
- <Dashboard Name="default_dashboard">
- <Gadgets>
- <Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0">
- <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/>
- </Gadget>
- <Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1">
- <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/>
- </Gadget>
- <Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0">
- <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/>
- </Gadget>
- <Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1">
- <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/>
- </Gadget>
- <Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0">
- <GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/>
- <GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/>
- <GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/>
- </Gadget>
- <Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1">
- <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/>
- </Gadget>
- </Gadgets>
- </Dashboard>
- <CurrentDashboard>default_dashboard</CurrentDashboard>
- </Dashboards>
- </DashboardSummary>
- </Project>
|