You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

vivado_pid18960.str 301KB

12345678910111213141516171819202122232425262728293031323334353637383940414243444546474849505152535455565758596061626364656667686970717273747576777879808182838485868788899091929394959697989910010110210310410510610710810911011111211311411511611711811912012112212312412512612712812913013113213313413513613713813914014114214314414514614714814915015115215315415515615715815916016116216316416516616716816917017117217317417517617717817918018118218318418518618718818919019119219319419519619719819920020120220320420520620720820921021121221321421521621721821922022122222322422522622722822923023123223323423523623723823924024124224324424524624724824925025125225325425525625725825926026126226326426526626726826927027127227327427527627727827928028128228328428528628728828929029129229329429529629729829930030130230330430530630730830931031131231331431531631731831932032132232332432532632732832933033133233333433533633733833934034134234334434534634734834935035135235335435535635735835936036136236336436536636736836937037137237337437537637737837938038138238338438538638738838939039139239339439539639739839940040140240340440540640740840941041141241341441541641741841942042142242342442542642742842943043143243343443543643743843944044144244344444544644744844945045145245345445545645745845946046146246346446546646746846947047147247347447547647747847948048148248348448548648748848949049149249349449549649749849950050150250350450550650750850951051151251351451551651751851952052152252352452552652752852953053153253353453553653753853954054154254354454554654754854955055155255355455555655755855956056156256356456556656756856957057157257357457557657757857958058158258358458558658758858959059159259359459559659759859960060160260360460560660760860961061161261361461561661761861962062162262362462562662762862963063163263363463563663763863964064164264364464564664764864965065165265365465565665765865966066166266366466566666766866967067167267367467567667767867968068168268368468568668768868969069169269369469569669769869970070170270370470570670770870971071171271371471571671771871972072172272372472572672772872973073173273373473573673773873974074174274374474574674774874975075175275375475575675775875976076176276376476576676776876977077177277377477577677777877978078178278378478578678778878979079179279379479579679779879980080180280380480580680780880981081181281381481581681781881982082182282382482582682782882983083183283383483583683783883984084184284384484584684784884985085185285385485585685785885986086186286386486586686786886987087187287387487587687787887988088188288388488588688788888989089189289389489589689789889990090190290390490590690790890991091191291391491591691791891992092192292392492592692792892993093193293393493593693793893994094194294394494594694794894995095195295395495595695795895996096196296396496596696796896997097197297397497597697797897998098198298398498598698798898999099199299399499599699799899910001001100210031004100510061007100810091010101110121013101410151016101710181019102010211022102310241025102610271028102910301031103210331034103510361037103810391040104110421043104410451046104710481049105010511052105310541055105610571058105910601061106210631064106510661067106810691070107110721073107410751076107710781079108010811082108310841085108610871088108910901091109210931094109510961097109810991100110111021103110411051106110711081109111011111112111311141115111611171118111911201121112211231124112511261127112811291130113111321133113411351136113711381139114011411142114311441145114611471148114911501151115211531154115511561157115811591160116111621163116411651166116711681169117011711172117311741175117611771178117911801181118211831184118511861187118811891190119111921193119411951196119711981199120012011202120312041205120612071208120912101211121212131214121512161217121812191220122112221223122412251226122712281229123012311232123312341235123612371238123912401241124212431244124512461247124812491250125112521253125412551256125712581259126012611262126312641265126612671268126912701271127212731274127512761277127812791280128112821283128412851286128712881289129012911292129312941295129612971298129913001301130213031304130513061307130813091310131113121313131413151316131713181319132013211322132313241325132613271328132913301331133213331334133513361337133813391340134113421343134413451346134713481349135013511352135313541355135613571358135913601361136213631364136513661367136813691370137113721373137413751376137713781379138013811382138313841385138613871388138913901391139213931394139513961397139813991400140114021403140414051406140714081409141014111412141314141415141614171418141914201421142214231424142514261427142814291430143114321433143414351436143714381439144014411442144314441445144614471448144914501451145214531454145514561457145814591460146114621463146414651466146714681469147014711472147314741475147614771478147914801481148214831484148514861487148814891490149114921493149414951496149714981499150015011502150315041505150615071508150915101511151215131514151515161517151815191520152115221523152415251526152715281529153015311532153315341535153615371538153915401541154215431544154515461547154815491550155115521553155415551556155715581559156015611562156315641565156615671568156915701571157215731574157515761577157815791580158115821583158415851586158715881589159015911592159315941595159615971598159916001601160216031604160516061607160816091610161116121613161416151616161716181619162016211622162316241625162616271628162916301631163216331634163516361637163816391640164116421643164416451646164716481649165016511652165316541655165616571658165916601661166216631664166516661667166816691670167116721673167416751676167716781679168016811682168316841685168616871688168916901691169216931694169516961697169816991700170117021703170417051706170717081709171017111712171317141715171617171718171917201721172217231724172517261727172817291730173117321733173417351736173717381739174017411742174317441745174617471748174917501751175217531754175517561757175817591760176117621763176417651766176717681769177017711772177317741775177617771778177917801781178217831784178517861787178817891790179117921793179417951796179717981799180018011802180318041805180618071808180918101811181218131814181518161817181818191820182118221823182418251826182718281829183018311832183318341835183618371838183918401841184218431844184518461847184818491850185118521853185418551856185718581859186018611862186318641865186618671868186918701871187218731874187518761877187818791880188118821883188418851886188718881889189018911892189318941895189618971898189919001901190219031904190519061907190819091910191119121913191419151916191719181919192019211922192319241925192619271928192919301931193219331934193519361937193819391940194119421943194419451946194719481949195019511952195319541955195619571958195919601961196219631964196519661967196819691970197119721973197419751976197719781979198019811982198319841985198619871988198919901991199219931994199519961997199819992000200120022003200420052006200720082009201020112012201320142015201620172018201920202021202220232024202520262027202820292030203120322033203420352036203720382039204020412042204320442045204620472048204920502051205220532054205520562057205820592060206120622063206420652066206720682069207020712072207320742075207620772078207920802081208220832084208520862087208820892090209120922093209420952096209720982099210021012102210321042105210621072108210921102111211221132114211521162117211821192120212121222123212421252126212721282129213021312132213321342135213621372138213921402141214221432144214521462147214821492150215121522153215421552156215721582159216021612162216321642165216621672168216921702171217221732174217521762177217821792180218121822183218421852186218721882189219021912192219321942195219621972198219922002201220222032204220522062207220822092210221122122213221422152216221722182219222022212222222322242225222622272228222922302231223222332234223522362237223822392240224122422243224422452246224722482249225022512252225322542255225622572258225922602261226222632264226522662267226822692270227122722273227422752276227722782279228022812282228322842285228622872288228922902291229222932294229522962297229822992300230123022303230423052306230723082309231023112312231323142315231623172318231923202321232223232324232523262327232823292330233123322333233423352336233723382339234023412342234323442345234623472348234923502351235223532354235523562357235823592360236123622363236423652366236723682369237023712372237323742375237623772378237923802381238223832384238523862387238823892390239123922393239423952396239723982399240024012402240324042405240624072408240924102411241224132414241524162417241824192420242124222423242424252426242724282429243024312432243324342435243624372438243924402441244224432444244524462447244824492450245124522453245424552456245724582459246024612462246324642465246624672468246924702471247224732474247524762477247824792480248124822483248424852486248724882489249024912492249324942495249624972498249925002501250225032504250525062507250825092510251125122513251425152516251725182519252025212522252325242525252625272528252925302531253225332534253525362537253825392540254125422543254425452546254725482549255025512552255325542555255625572558255925602561256225632564256525662567256825692570257125722573257425752576257725782579258025812582258325842585258625872588258925902591259225932594259525962597259825992600260126022603260426052606260726082609261026112612261326142615261626172618261926202621262226232624262526262627262826292630263126322633263426352636263726382639264026412642264326442645264626472648264926502651265226532654265526562657265826592660266126622663266426652666266726682669267026712672267326742675267626772678267926802681268226832684268526862687268826892690269126922693269426952696269726982699270027012702270327042705270627072708270927102711271227132714271527162717271827192720272127222723272427252726272727282729273027312732273327342735273627372738273927402741274227432744274527462747274827492750275127522753275427552756275727582759276027612762276327642765276627672768276927702771277227732774277527762777277827792780278127822783278427852786278727882789279027912792279327942795279627972798279928002801280228032804280528062807280828092810281128122813281428152816281728182819282028212822282328242825282628272828282928302831283228332834283528362837283828392840284128422843284428452846284728482849285028512852285328542855285628572858285928602861286228632864286528662867286828692870287128722873287428752876287728782879288028812882288328842885288628872888288928902891289228932894289528962897289828992900290129022903290429052906290729082909291029112912291329142915291629172918291929202921292229232924292529262927292829292930293129322933293429352936293729382939294029412942294329442945294629472948294929502951295229532954295529562957295829592960296129622963296429652966296729682969297029712972297329742975297629772978297929802981298229832984298529862987298829892990299129922993299429952996299729982999300030013002300330043005300630073008300930103011301230133014301530163017301830193020302130223023302430253026302730283029303030313032303330343035303630373038303930403041304230433044304530463047304830493050305130523053305430553056305730583059306030613062306330643065306630673068306930703071307230733074307530763077307830793080308130823083308430853086308730883089309030913092309330943095309630973098309931003101310231033104310531063107310831093110311131123113311431153116311731183119312031213122312331243125312631273128312931303131313231333134313531363137313831393140314131423143314431453146314731483149315031513152315331543155315631573158315931603161316231633164316531663167316831693170317131723173317431753176317731783179318031813182318331843185318631873188318931903191319231933194319531963197319831993200320132023203320432053206320732083209321032113212321332143215321632173218321932203221322232233224322532263227322832293230323132323233323432353236323732383239324032413242324332443245324632473248324932503251325232533254325532563257325832593260326132623263326432653266326732683269327032713272327332743275327632773278327932803281328232833284328532863287328832893290329132923293329432953296329732983299330033013302330333043305330633073308330933103311331233133314331533163317331833193320332133223323332433253326332733283329333033313332333333343335333633373338333933403341334233433344334533463347334833493350335133523353335433553356335733583359336033613362336333643365336633673368336933703371337233733374337533763377337833793380338133823383338433853386338733883389339033913392339333943395339633973398339934003401340234033404340534063407340834093410341134123413341434153416341734183419342034213422342334243425342634273428342934303431343234333434343534363437343834393440344134423443344434453446344734483449345034513452345334543455345634573458345934603461346234633464346534663467346834693470347134723473347434753476347734783479348034813482348334843485348634873488348934903491349234933494349534963497349834993500350135023503350435053506350735083509351035113512351335143515351635173518351935203521352235233524352535263527352835293530353135323533353435353536353735383539354035413542354335443545354635473548354935503551355235533554355535563557355835593560356135623563356435653566356735683569357035713572357335743575357635773578357935803581358235833584358535863587358835893590359135923593359435953596359735983599360036013602360336043605360636073608360936103611361236133614361536163617361836193620362136223623362436253626362736283629363036313632363336343635363636373638363936403641364236433644364536463647364836493650365136523653365436553656365736583659366036613662366336643665366636673668366936703671367236733674367536763677367836793680368136823683368436853686368736883689369036913692369336943695369636973698369937003701370237033704370537063707370837093710371137123713371437153716371737183719372037213722372337243725372637273728372937303731373237333734373537363737373837393740374137423743374437453746374737483749375037513752375337543755375637573758375937603761376237633764376537663767376837693770377137723773377437753776377737783779378037813782378337843785378637873788378937903791379237933794379537963797379837993800380138023803380438053806380738083809381038113812381338143815381638173818381938203821382238233824382538263827382838293830383138323833383438353836383738383839384038413842384338443845384638473848384938503851385238533854385538563857385838593860386138623863386438653866386738683869387038713872387338743875387638773878387938803881388238833884388538863887388838893890389138923893389438953896389738983899390039013902390339043905390639073908390939103911391239133914391539163917391839193920392139223923392439253926392739283929393039313932393339343935393639373938393939403941394239433944394539463947394839493950395139523953395439553956395739583959396039613962396339643965396639673968396939703971397239733974397539763977397839793980398139823983398439853986398739883989399039913992399339943995399639973998399940004001400240034004400540064007400840094010401140124013401440154016401740184019402040214022402340244025402640274028402940304031403240334034403540364037403840394040404140424043404440454046404740484049405040514052405340544055405640574058405940604061406240634064406540664067406840694070407140724073407440754076407740784079408040814082408340844085408640874088408940904091409240934094409540964097409840994100410141024103410441054106410741084109411041114112411341144115411641174118411941204121412241234124412541264127412841294130413141324133413441354136413741384139414041414142414341444145414641474148414941504151415241534154415541564157415841594160416141624163416441654166416741684169417041714172417341744175417641774178417941804181418241834184418541864187418841894190419141924193419441954196419741984199420042014202420342044205420642074208420942104211421242134214421542164217421842194220422142224223422442254226422742284229423042314232423342344235423642374238423942404241424242434244424542464247424842494250425142524253425442554256425742584259426042614262426342644265426642674268426942704271427242734274427542764277427842794280428142824283428442854286428742884289429042914292429342944295429642974298429943004301430243034304430543064307430843094310431143124313431443154316431743184319432043214322432343244325432643274328432943304331433243334334433543364337433843394340434143424343434443454346434743484349435043514352435343544355435643574358435943604361436243634364436543664367436843694370437143724373437443754376437743784379438043814382438343844385438643874388438943904391439243934394439543964397439843994400440144024403440444054406440744084409441044114412441344144415441644174418441944204421442244234424442544264427442844294430443144324433443444354436443744384439444044414442444344444445444644474448444944504451445244534454445544564457445844594460446144624463446444654466446744684469447044714472447344744475447644774478447944804481448244834484448544864487448844894490449144924493449444954496449744984499450045014502450345044505450645074508450945104511451245134514451545164517451845194520452145224523452445254526452745284529453045314532453345344535453645374538453945404541454245434544454545464547454845494550455145524553455445554556455745584559456045614562456345644565456645674568456945704571457245734574457545764577457845794580458145824583458445854586458745884589459045914592459345944595459645974598459946004601460246034604460546064607460846094610461146124613461446154616461746184619462046214622462346244625462646274628462946304631463246334634463546364637463846394640464146424643464446454646464746484649465046514652465346544655465646574658465946604661466246634664466546664667466846694670467146724673467446754676467746784679468046814682468346844685468646874688468946904691469246934694469546964697469846994700470147024703470447054706470747084709471047114712471347144715471647174718471947204721472247234724472547264727472847294730473147324733473447354736473747384739474047414742474347444745474647474748474947504751475247534754475547564757475847594760476147624763476447654766476747684769477047714772477347744775477647774778477947804781478247834784478547864787478847894790479147924793479447954796479747984799480048014802480348044805480648074808480948104811481248134814481548164817481848194820482148224823482448254826482748284829483048314832483348344835483648374838483948404841484248434844484548464847484848494850485148524853485448554856485748584859486048614862486348644865486648674868486948704871487248734874487548764877487848794880488148824883488448854886488748884889489048914892489348944895489648974898489949004901490249034904490549064907490849094910491149124913491449154916491749184919492049214922492349244925492649274928492949304931493249334934493549364937493849394940494149424943494449454946494749484949495049514952495349544955495649574958495949604961496249634964496549664967496849694970497149724973497449754976497749784979498049814982498349844985498649874988498949904991499249934994499549964997499849995000500150025003500450055006500750085009501050115012501350145015501650175018501950205021502250235024502550265027502850295030
  1. /*
  2. Xilinx Vivado v2021.2 (64-bit) [Major: 2021, Minor: 2]
  3. SW Build: 3367213 on Tue Oct 19 02:48:09 MDT 2021
  4. IP Build: 3369179 on Thu Oct 21 08:25:16 MDT 2021
  5. Process ID (PID): 18960
  6. License: Customer
  7. Mode: GUI Mode
  8. Current time: Wed May 18 19:30:05 CEST 2022
  9. Time zone: Central European Standard Time (Europe/Berlin)
  10. OS: Windows 10
  11. OS Version: 10.0
  12. OS Architecture: amd64
  13. Available processors (cores): 12
  14. Screen size: 1920x1080
  15. Screen resolution (DPI): 100
  16. Available screens: 2
  17. Default font: family=Dialog,name=Dialog,style=plain,size=12
  18. Scale size: 12
  19. Java version: 11.0.11 64-bit
  20. Java home: C:/Xilinx/Vivado/2021.2/tps/win64/jre11.0.11_9
  21. Java executable: C:/Xilinx/Vivado/2021.2/tps/win64/jre11.0.11_9/bin/java.exe
  22. Java arguments: [-Dsun.java2d.pmoffscreen=false, -Dhttps.protocols=TLSv1,TLSv1.1,TLSv1.2, -Dsun.java2d.xrender=false, -Dsun.java2d.d3d=false, -Dsun.awt.nopixfmt=true, -Dsun.java2d.dpiaware=true, -Dsun.java2d.uiScale.enabled=false, -Xverify:none, -Dswing.aatext=true, -XX:-UsePerfData, -Djdk.map.althashing.threshold=512, -XX:StringTableSize=4072, --add-opens=java.base/java.nio=ALL-UNNAMED, --add-opens=java.desktop/sun.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.desktop/com.sun.awt=ALL-UNNAMED, -XX:NewSize=60m, -XX:MaxNewSize=60m, -Xms256m, -Xmx3072m, -Xss5m]
  23. Java initial memory (-Xms): 256 MB
  24. Java maximum memory (-Xmx): 3 GB
  25. User name: Felix
  26. User home directory: C:/Users/Felix
  27. User working directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim
  28. User country: DE
  29. User language: de
  30. User locale: de_DE
  31. RDI_BASEROOT: C:/Xilinx/Vivado
  32. HDI_APPROOT: C:/Xilinx/Vivado/2021.2
  33. RDI_DATADIR: C:/Xilinx/Vivado/2021.2/data
  34. RDI_BINDIR: C:/Xilinx/Vivado/2021.2/bin
  35. Vivado preferences file: C:/Users/Felix/AppData/Roaming/Xilinx/Vivado/2021.2/vivado.xml
  36. Vivado preferences directory: C:/Users/Felix/AppData/Roaming/Xilinx/Vivado/2021.2/
  37. Vivado layouts directory: C:/Users/Felix/AppData/Roaming/Xilinx/Vivado/2021.2/data/layouts
  38. PlanAhead jar file: C:/Xilinx/Vivado/2021.2/lib/classes/planAhead.jar
  39. Vivado log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/vivado.log
  40. Vivado journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/vivado.jou
  41. Engine tmp dir: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/.Xil/Vivado-18960-DESKTOP-PAACOM8
  42. Xilinx Environment Variables
  43. ----------------------------
  44. TWINCATSDK: C:\TwinCAT\3.1\SDK\
  45. XILINX: C:/Xilinx/Vivado/2021.2/ids_lite/ISE
  46. XILINX_DSP: C:/Xilinx/Vivado/2021.2/ids_lite/ISE
  47. XILINX_HLS: C:/Xilinx/Vitis_HLS/2021.2
  48. XILINX_PLANAHEAD: C:/Xilinx/Vivado/2021.2
  49. XILINX_VIVADO: C:/Xilinx/Vivado/2021.2
  50. XILINX_VIVADO_HLS: C:/Xilinx/Vivado/2021.2
  51. GUI allocated memory: 256 MB
  52. GUI max memory: 3,072 MB
  53. Engine allocated memory: 1,269 MB
  54. Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
  55. */
  56. // TclEventType: START_GUI
  57. // Tcl Message: start_gui
  58. // TclEventType: PROJECT_OPEN_DIALOG
  59. // Opening Vivado Project: C:\Users\Felix\OneDrive\Master\Projektarbeit_FPGA\Programme\Git_Projekte\FPGA_Projekt_Regler\StreckenSim_mitRegler\StreckeSim_counter_working\StreckeSim\Coraz7_Test.xpr. Version: Vivado v2021.2
  60. // TclEventType: DEBUG_PROBE_SET_CHANGE
  61. // TclEventType: FLOW_ADDED
  62. // Tcl Message: open_project C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.xpr
  63. // Tcl Message: INFO: [ProjectBase 1-489] The host OS only allows 260 characters in a normal path. The project is stored in a path with more than 80 characters. If you experience issues with IP, Block Designs, or files not being found, please consider moving the project to a location with a shorter path. Alternately consider using the OS subst command to map part of the path to a drive letter. Current project path is 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim'
  64. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 55 MB. Current time: 5/18/22, 7:30:06 PM CEST
  65. // TclEventType: MSGMGR_MOVEMSG
  66. // TclEventType: FILE_SET_CHANGE
  67. // TclEventType: FILE_SET_NEW
  68. // TclEventType: RUN_COMPLETED
  69. // TclEventType: RUN_STATUS_CHANGE
  70. // TclEventType: RUN_CURRENT
  71. // TclEventType: PROJECT_DASHBOARD_NEW
  72. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  73. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  74. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  75. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  76. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  77. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  78. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  79. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  80. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  81. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  82. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  83. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  84. // TclEventType: PROJECT_NEW
  85. // Tcl Message: open_project C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.xpr
  86. // Tcl Message: INFO: [ProjectBase 1-489] The host OS only allows 260 characters in a normal path. The project is stored in a path with more than 80 characters. If you experience issues with IP, Block Designs, or files not being found, please consider moving the project to a location with a shorter path. Alternately consider using the OS subst command to map part of the path to a drive letter. Current project path is 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim'
  87. // Tcl Message: INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.gen/sources_1'. INFO: [BD 41-2613] The output directory c:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.gen/sources_1/bd/design_1 for design_1 cannot be found.
  88. // Tcl Message: Scanning sources... Finished scanning sources
  89. // TclEventType: PROJECT_NEW
  90. // [GUI Memory]: 77 MB (+77943kb) [00:00:17]
  91. // [Engine Memory]: 1,269 MB (+1178896kb) [00:00:17]
  92. // WARNING: HEventQueue.dispatchEvent() is taking 3307 ms.
  93. // Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2021.2/data/ip'.
  94. // Tcl Message: open_project: Time (s): cpu = 00:00:30 ; elapsed = 00:00:12 . Memory (MB): peak = 1251.465 ; gain = 0.000
  95. // [GUI Memory]: 98 MB (+18139kb) [00:00:20]
  96. // Project name: Coraz7_Test; location: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim; part: xc7z010clg400-1
  97. dismissDialog("Open Project"); // bA
  98. // Tcl Message: update_compile_order -fileset sources_1
  99. // [GUI Memory]: 125 MB (+22967kb) [00:00:25]
  100. // PAPropertyPanels.initPanels (pwm_test.vhd) elapsed time: 0.3s
  101. // Elapsed time: 25 seconds
  102. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, regler(Behavioral) (pwm_test.vhd)]", 3, false); // D
  103. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, regler(Behavioral) (pwm_test.vhd)]", 3, false, false, false, false, false, true); // D - Double Click
  104. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, regler(Behavioral) (pwm_test.vhd)]", 3, false); // D
  105. // WARNING: HEventQueue.dispatchEvent() is taking 4107 ms.
  106. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, pt1(Behavioral) (pt1.vhd)]", 4, false); // D
  107. // [GUI Memory]: 133 MB (+2284kb) [00:00:52]
  108. // Elapsed time: 10 seconds
  109. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Project Summary", 0); // m
  110. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 1); // m
  111. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, regler(Behavioral) (pwm_test.vhd)]", 3, false); // D
  112. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, regler(Behavioral) (pwm_test.vhd)]", 3, false, false, false, false, false, true); // D - Double Click
  113. dismissDialog("Opening Editor"); // bA
  114. // Elapsed time: 118 seconds
  115. selectCodeEditor("pwm_test.vhd", 229, 337); // be
  116. selectCodeEditor("pwm_test.vhd", 84, 362); // be
  117. selectCodeEditor("pwm_test.vhd", 348, 361); // be
  118. selectCodeEditor("pwm_test.vhd", 285, 293); // be
  119. selectCodeEditor("pwm_test.vhd", 271, 312); // be
  120. selectCodeEditor("pwm_test.vhd", 94, 265); // be
  121. selectCodeEditor("pwm_test.vhd", 96, 263); // be
  122. selectCodeEditor("pwm_test.vhd", 7, 95); // be
  123. selectCodeEditor("pwm_test.vhd", 209, 290); // be
  124. // Elapsed time: 49 seconds
  125. selectCodeEditor("pwm_test.vhd", 93, 197); // be
  126. selectCodeEditor("pwm_test.vhd", 89, 182); // be
  127. // Elapsed time: 223 seconds
  128. selectCodeEditor("pwm_test.vhd", 290, 316); // be
  129. selectCodeEditor("pwm_test.vhd", 182, 210); // be
  130. selectCodeEditor("pwm_test.vhd", 182, 210, false, false, false, false, true); // be - Double Click
  131. selectCodeEditor("pwm_test.vhd", 498, 297); // be
  132. // Elapsed time: 60 seconds
  133. selectCodeEditor("pwm_test.vhd", 162, 111); // be
  134. selectCodeEditor("pwm_test.vhd", 162, 111, false, false, false, false, true); // be - Double Click
  135. // Elapsed time: 21 seconds
  136. selectCodeEditor("pwm_test.vhd", 180, 164); // be
  137. selectCodeEditor("pwm_test.vhd", 541, 273); // be
  138. selectCodeEditor("pwm_test.vhd", 170, 154); // be
  139. selectCodeEditor("pwm_test.vhd", 228, 416); // be
  140. // Elapsed time: 22 seconds
  141. selectCodeEditor("pwm_test.vhd", 95, 266); // be
  142. selectCodeEditor("pwm_test.vhd", 96, 266); // be
  143. selectCodeEditor("pwm_test.vhd", 138, 280); // be
  144. selectCodeEditor("pwm_test.vhd", 97, 281); // be
  145. selectCodeEditor("pwm_test.vhd", 131, 277); // be
  146. selectCodeEditor("pwm_test.vhd", 211, 177); // be
  147. // Elapsed time: 116 seconds
  148. selectCodeEditor("pwm_test.vhd", 163, 296); // be
  149. selectCodeEditor("pwm_test.vhd", 135, 298); // be
  150. selectCodeEditor("pwm_test.vhd", 287, 296); // be
  151. selectCodeEditor("pwm_test.vhd", 222, 333); // be
  152. selectCodeEditor("pwm_test.vhd", 111, 369); // be
  153. typeControlKey((HResource) null, "pwm_test.vhd", 'v'); // be
  154. selectCodeEditor("pwm_test.vhd", 116, 353); // be
  155. // Elapsed time: 15 seconds
  156. selectCodeEditor("pwm_test.vhd", 94, 420); // be
  157. selectCodeEditor("pwm_test.vhd", 88, 416); // be
  158. selectCodeEditor("pwm_test.vhd", 393, 266); // be
  159. selectCodeEditor("pwm_test.vhd", 358, 264); // be
  160. // Elapsed time: 19 seconds
  161. selectCodeEditor("pwm_test.vhd", 392, 426); // be
  162. selectCodeEditor("pwm_test.vhd", 126, 280); // be
  163. selectCodeEditor("pwm_test.vhd", 119, 96); // be
  164. // Elapsed time: 33 seconds
  165. selectCodeEditor("pwm_test.vhd", 129, 378); // be
  166. // Elapsed time: 49 seconds
  167. selectCodeEditor("pwm_test.vhd", 124, 388); // be
  168. // Elapsed time: 12 seconds
  169. selectCodeEditor("pwm_test.vhd", 225, 376); // be
  170. selectCodeEditor("pwm_test.vhd", 225, 376, false, false, false, false, true); // be - Double Click
  171. selectCodeEditor("pwm_test.vhd", 263, 380); // be
  172. selectCodeEditor("pwm_test.vhd", 181, 95); // be
  173. selectCodeEditor("pwm_test.vhd", 227, 65); // be
  174. selectCodeEditor("pwm_test.vhd", 231, 74); // be
  175. selectCodeEditor("pwm_test.vhd", 301, 267); // be
  176. selectCodeEditor("pwm_test.vhd", 235, 86); // be
  177. selectCodeEditor("pwm_test.vhd", 121, 283); // be
  178. selectCodeEditor("pwm_test.vhd", 93, 96); // be
  179. selectCodeEditor("pwm_test.vhd", 83, 285); // be
  180. selectCodeEditor("pwm_test.vhd", 130, 273); // be
  181. // Elapsed time: 53 seconds
  182. selectCodeEditor("pwm_test.vhd", 316, 359); // be
  183. // Elapsed time: 11 seconds
  184. selectCodeEditor("pwm_test.vhd", 342, 260); // be
  185. selectCodeEditor("pwm_test.vhd", 93, 260); // be
  186. // Elapsed time: 23 seconds
  187. selectCodeEditor("pwm_test.vhd", 98, 261); // be
  188. selectCodeEditor("pwm_test.vhd", 96, 253); // be
  189. selectCodeEditor("pwm_test.vhd", 101, 249); // be
  190. selectCodeEditor("pwm_test.vhd", 114, 261); // be
  191. // Elapsed time: 21 seconds
  192. selectCodeEditor("pwm_test.vhd", 121, 108); // be
  193. selectCodeEditor("pwm_test.vhd", 206, 128); // be
  194. selectCodeEditor("pwm_test.vhd", 239, 100); // be
  195. selectCodeEditor("pwm_test.vhd", 237, 122); // be
  196. selectCodeEditor("pwm_test.vhd", 239, 114); // be
  197. selectCodeEditor("pwm_test.vhd", 237, 89); // be
  198. selectCodeEditor("pwm_test.vhd", 248, 109); // be
  199. // Elapsed time: 63 seconds
  200. selectCodeEditor("pwm_test.vhd", 275, 109); // be
  201. // Elapsed time: 43 seconds
  202. selectCodeEditor("pwm_test.vhd", 276, 374); // be
  203. // Elapsed time: 47 seconds
  204. selectCodeEditor("pwm_test.vhd", 370, 447, false, false, false, true, false); // be - Popup Trigger
  205. selectCodeEditor("pwm_test.vhd", 296, 328); // be
  206. selectCodeEditor("pwm_test.vhd", 92, 346); // be
  207. selectCodeEditor("pwm_test.vhd", 93, 355); // be
  208. selectCodeEditor("pwm_test.vhd", 355, 265); // be
  209. selectCodeEditor("pwm_test.vhd", 141, 302); // be
  210. selectCodeEditor("pwm_test.vhd", 144, 300); // be
  211. // Elapsed time: 103 seconds
  212. selectCodeEditor("pwm_test.vhd", 335, 297); // be
  213. selectCodeEditor("pwm_test.vhd", 317, 295); // be
  214. // Elapsed time: 12 seconds
  215. selectCodeEditor("pwm_test.vhd", 106, 404); // be
  216. selectCodeEditor("pwm_test.vhd", 159, 429); // be
  217. selectCodeEditor("pwm_test.vhd", 97, 402); // be
  218. selectCodeEditor("pwm_test.vhd", 105, 397); // be
  219. selectCodeEditor("pwm_test.vhd", 112, 399); // be
  220. selectCodeEditor("pwm_test.vhd", 90, 422); // be
  221. selectCodeEditor("pwm_test.vhd", 129, 404); // be
  222. selectCodeEditor("pwm_test.vhd", 120, 417); // be
  223. // Elapsed time: 73 seconds
  224. selectCodeEditor("pwm_test.vhd", 194, 391); // be
  225. selectCodeEditor("pwm_test.vhd", 168, 415); // be
  226. // Elapsed time: 27 seconds
  227. selectCodeEditor("pwm_test.vhd", 267, 418); // be
  228. // TclEventType: DG_GRAPH_STALE
  229. // TclEventType: FILE_SET_CHANGE
  230. // Elapsed time: 37 seconds
  231. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, pt1(Behavioral) (pt1.vhd)]", 4, false); // D
  232. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, pt1(Behavioral) (pt1.vhd)]", 4, false, false, false, false, false, true); // D - Double Click
  233. // WARNING: HEventQueue.dispatchEvent() is taking 1243 ms.
  234. dismissDialog("Opening Editor"); // bA
  235. // Elapsed time: 33 seconds
  236. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 1); // m
  237. // Elapsed time: 11 seconds
  238. selectCodeEditor("pwm_test.vhd", 167, 419); // be
  239. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 2); // m
  240. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 1); // m
  241. // Elapsed time: 11 seconds
  242. selectCodeEditor("pwm_test.vhd", 161, 398); // be
  243. selectCodeEditor("pwm_test.vhd", 167, 398); // be
  244. selectCodeEditor("pwm_test.vhd", 167, 398, false, false, false, false, true); // be - Double Click
  245. selectCodeEditor("pwm_test.vhd", 237, 368); // be
  246. // Elapsed time: 69 seconds
  247. selectCodeEditor("pwm_test.vhd", 286, 307); // be
  248. selectCodeEditor("pwm_test.vhd", 586, 275); // be
  249. // TclEventType: DG_GRAPH_STALE
  250. // TclEventType: FILE_SET_CHANGE
  251. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 2); // m
  252. selectCodeEditor("pt1.vhd", 195, 249); // be
  253. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Project Summary", 0); // m
  254. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 1); // m
  255. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 6); // D
  256. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1]", 7, true); // D - Node
  257. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1]", 7); // D
  258. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, pwm_test_db(Behavioral) (pwm_test_db.vhd)]", 9, true); // D - Node
  259. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, pwm_test_db(Behavioral) (pwm_test_db.vhd)]", 9, true, false, false, false, false, true); // D - Double Click - Node
  260. // WARNING: HEventQueue.dispatchEvent() is taking 1144 ms.
  261. dismissDialog("Opening Editor"); // bA
  262. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 14, false); // n
  263. // Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
  264. // TclEventType: RUN_MODIFY
  265. // TclEventType: RUN_RESET
  266. // TclEventType: RUN_STATUS_CHANGE
  267. // TclEventType: RUN_RESET
  268. // TclEventType: RUN_MODIFY
  269. // Tcl Message: reset_run synth_1
  270. // Tcl Message: INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  271. // TclEventType: FILE_SET_CHANGE
  272. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  273. dismissDialog("Launch Runs"); // f
  274. // TclEventType: RUN_LAUNCH
  275. // TclEventType: RUN_MODIFY
  276. // Tcl Message: launch_runs synth_1 -jobs 6
  277. // Tcl Message: [Wed May 18 19:58:12 2022] Launched synth_1... Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  278. dismissDialog("Starting Design Runs"); // bA
  279. // TclEventType: RUN_STATUS_CHANGE
  280. // TclEventType: RUN_COMPLETED
  281. // TclEventType: RUN_STATUS_CHANGE
  282. // TclEventType: RUN_STEP_COMPLETED
  283. // Elapsed time: 60 seconds
  284. selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a
  285. dismissDialog("Synthesis Completed"); // ag
  286. // [GUI Memory]: 145 MB (+5677kb) [00:29:20]
  287. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // n
  288. selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ao
  289. // Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
  290. // TclEventType: LAUNCH_SIM
  291. // TclEventType: FILE_SET_OPTIONS_CHANGE
  292. // Tcl Message: launch_simulation
  293. // Tcl Message: Command: launch_simulation
  294. // Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  295. // Tcl Message: INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  296. // Tcl Message: "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  297. // TclEventType: LAUNCH_SIM_LOG
  298. // Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'regler'
  299. // Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  300. // Tcl Message: "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  301. // TclEventType: LAUNCH_SIM
  302. // TclEventType: LOAD_FEATURE
  303. // Tcl Message: Built simulation snapshot pwm_test_db_behav
  304. // Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '4' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim
  305. // Tcl Message: with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  306. // Tcl Message: INFO: [USF-XSim-8] Loading simulator feature
  307. // TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
  308. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  309. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  310. // TclEventType: SIMULATION_UPDATE_STACKS
  311. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  312. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  313. // Tcl Message: Time resolution is 1 ps
  314. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  315. // TclEventType: SIMULATION_UPDATE_STACKS
  316. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  317. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  318. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  319. // TclEventType: SIMULATION_UPDATE_STACKS
  320. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  321. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  322. closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
  323. // TclEventType: WAVEFORM_UPDATE_TITLE
  324. // TclEventType: WAVEFORM_OPEN_WCFG
  325. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  326. // TclEventType: SIMULATION_UPDATE_STACKS
  327. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  328. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  329. // TclEventType: WAVEFORM_OPEN_WCFG
  330. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  331. // TclEventType: WAVEFORM_MODEL_EVENT
  332. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  333. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  334. // Tcl Message: open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  335. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  336. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  337. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  338. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  339. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  340. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  341. // Tcl Message: source pwm_test_db.tcl
  342. // Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 5 s
  343. // TclEventType: WAVEFORM_MODEL_EVENT
  344. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  345. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  346. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 85 MB. Current time: 5/18/22, 7:59:27 PM CEST
  347. // TclEventType: WAVEFORM_MODEL_EVENT
  348. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  349. // TclEventType: WAVEFORM_MODEL_EVENT
  350. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  351. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  352. // TclEventType: SIMULATION_OBJECT_TREE_RESTORED
  353. // TclEventType: WAVEFORM_MODEL_EVENT
  354. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  355. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  356. // TclEventType: WAVEFORM_MODEL_EVENT
  357. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  358. // TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
  359. // TclEventType: SIMULATION_CURRENT_STACK_CHANGED
  360. // TclEventType: SIMULATION_UPDATE_STACK_FRAMES
  361. // TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
  362. // TclEventType: SIMULATION_UPDATE_LOCALS
  363. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  364. // TclEventType: SIMULATION_UPDATE_STACKS
  365. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  366. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  367. // Tcl Message: xsim: Time (s): cpu = 00:00:10 ; elapsed = 00:00:05 . Memory (MB): peak = 1251.465 ; gain = 0.000
  368. // Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  369. // Tcl Message: launch_simulation: Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 1251.465 ; gain = 0.000
  370. // 'd' command handler elapsed time: 12 seconds
  371. dismissDialog("Run Simulation"); // e
  372. // TclEventType: WAVEFORM_MODEL_EVENT
  373. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  374. // TclEventType: WAVEFORM_MODEL_EVENT
  375. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  376. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 83 MB. Current time: 5/18/22, 7:59:35 PM CEST
  377. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  378. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 87 MB. Current time: 5/18/22, 7:59:35 PM CEST
  379. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  380. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 92 MB. Current time: 5/18/22, 7:59:35 PM CEST
  381. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  382. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 83 MB. Current time: 5/18/22, 7:59:35 PM CEST
  383. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  384. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 83 MB. Current time: 5/18/22, 7:59:35 PM CEST
  385. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  386. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 85 MB. Current time: 5/18/22, 7:59:36 PM CEST
  387. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  388. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 83 MB. Current time: 5/18/22, 7:59:36 PM CEST
  389. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  390. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 83 MB. Current time: 5/18/22, 7:59:36 PM CEST
  391. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  392. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 83 MB. Current time: 5/18/22, 7:59:36 PM CEST
  393. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  394. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 89 MB. Current time: 5/18/22, 7:59:36 PM CEST
  395. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  396. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 83 MB. Current time: 5/18/22, 7:59:36 PM CEST
  397. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  398. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 89 MB. Current time: 5/18/22, 7:59:36 PM CEST
  399. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  400. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 83 MB. Current time: 5/18/22, 7:59:36 PM CEST
  401. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  402. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 83 MB. Current time: 5/18/22, 7:59:37 PM CEST
  403. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  404. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 83 MB. Current time: 5/18/22, 7:59:37 PM CEST
  405. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  406. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 89 MB. Current time: 5/18/22, 7:59:37 PM CEST
  407. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  408. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 83 MB. Current time: 5/18/22, 7:59:37 PM CEST
  409. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  410. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 89 MB. Current time: 5/18/22, 7:59:37 PM CEST
  411. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  412. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 83 MB. Current time: 5/18/22, 7:59:37 PM CEST
  413. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  414. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 83 MB. Current time: 5/18/22, 7:59:37 PM CEST
  415. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  416. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 83 MB. Current time: 5/18/22, 7:59:37 PM CEST
  417. // Elapsed time: 10 seconds
  418. selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // D
  419. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  420. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 111, 77); // b
  421. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  422. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  423. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  424. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  425. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  426. // TclEventType: WAVEFORM_MOVE_CURSOR
  427. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 133, 67); // b
  428. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  429. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  430. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  431. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  432. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  433. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  434. // TclEventType: WAVEFORM_MOVE_CURSOR
  435. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 142, 64); // b
  436. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  437. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 84 MB. Current time: 5/18/22, 7:59:43 PM CEST
  438. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 498, 81); // b
  439. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  440. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  441. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  442. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  443. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  444. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  445. // TclEventType: WAVEFORM_MOVE_CURSOR
  446. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 134, 242); // b
  447. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  448. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  449. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  450. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  451. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  452. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  453. // TclEventType: WAVEFORM_MOVE_CURSOR
  454. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 149, 162); // b
  455. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  456. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  457. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  458. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  459. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  460. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  461. // TclEventType: WAVEFORM_MOVE_CURSOR
  462. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 83 MB. Current time: 5/18/22, 7:59:48 PM CEST
  463. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 173, 268); // b
  464. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  465. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  466. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  467. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  468. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  469. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  470. // TclEventType: WAVEFORM_MOVE_CURSOR
  471. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 205, 163); // b
  472. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  473. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  474. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  475. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  476. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  477. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  478. // TclEventType: WAVEFORM_MOVE_CURSOR
  479. // Elapsed time: 14 seconds
  480. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  481. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  482. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 3); // m
  483. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  484. // Elapsed time: 20 seconds
  485. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 110, 315); // b
  486. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  487. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  488. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  489. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  490. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  491. // TclEventType: WAVEFORM_MOVE_CURSOR
  492. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 83 MB. Current time: 5/18/22, 8:00:30 PM CEST
  493. // Elapsed time: 13 seconds
  494. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 150, 178); // b
  495. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  496. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  497. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  498. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  499. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  500. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  501. // TclEventType: WAVEFORM_MOVE_CURSOR
  502. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 96, 254); // b
  503. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  504. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  505. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  506. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  507. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  508. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  509. // TclEventType: WAVEFORM_MOVE_CURSOR
  510. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 39, 192); // b
  511. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  512. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  513. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  514. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  515. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  516. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  517. // TclEventType: WAVEFORM_MOVE_CURSOR
  518. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 83 MB. Current time: 5/18/22, 8:00:47 PM CEST
  519. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 89, 265); // b
  520. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  521. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  522. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  523. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  524. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  525. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  526. // TclEventType: WAVEFORM_MOVE_CURSOR
  527. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  528. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  529. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  530. // Elapsed time: 96 seconds
  531. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 3); // m
  532. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  533. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  534. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  535. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 3); // m
  536. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  537. selectCodeEditor("pwm_test_db.vhd", 86, 92); // be
  538. selectCodeEditor("pwm_test_db.vhd", 86, 92, false, false, false, false, true); // be - Double Click
  539. selectCodeEditor("pwm_test_db.vhd", 407, 280); // be
  540. // TclEventType: DG_GRAPH_STALE
  541. // TclEventType: FILE_SET_CHANGE
  542. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // n
  543. selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ao
  544. // Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
  545. selectButton("OptionPane.button", "Yes"); // JButton
  546. // TclEventType: WAVEFORM_CLOSE_WCFG
  547. // TclEventType: SIMULATION_CLOSE_SIMULATION
  548. // Tcl Message: close_sim
  549. // Tcl Message: INFO: [Simtcl 6-16] Simulation closed
  550. dismissDialog("Close"); // bA
  551. // TclEventType: LAUNCH_SIM
  552. // TclEventType: FILE_SET_OPTIONS_CHANGE
  553. // Tcl Message: launch_simulation
  554. // Tcl Message: Command: launch_simulation
  555. // Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  556. // Tcl Message: "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  557. // TclEventType: LAUNCH_SIM_LOG
  558. // Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  559. // Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  560. // Tcl Message: "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  561. // TclEventType: LAUNCH_SIM
  562. // Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim
  563. // Tcl Message: with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  564. // Tcl Message: INFO: [USF-XSim-8] Loading simulator feature
  565. // TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
  566. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  567. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  568. // TclEventType: SIMULATION_UPDATE_STACKS
  569. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  570. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  571. // Tcl Message: Time resolution is 1 ps
  572. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  573. // TclEventType: SIMULATION_UPDATE_STACKS
  574. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  575. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  576. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  577. // TclEventType: SIMULATION_UPDATE_STACKS
  578. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  579. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  580. closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
  581. // TclEventType: WAVEFORM_UPDATE_TITLE
  582. // TclEventType: WAVEFORM_OPEN_WCFG
  583. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  584. // TclEventType: SIMULATION_UPDATE_STACKS
  585. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  586. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  587. // TclEventType: WAVEFORM_OPEN_WCFG
  588. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  589. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  590. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  591. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  592. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  593. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  594. // Tcl Message: open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  595. // Tcl Message: source pwm_test_db.tcl
  596. // Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 5 s
  597. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  598. // TclEventType: WAVEFORM_MODEL_EVENT
  599. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  600. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  601. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 88 MB. Current time: 5/18/22, 8:02:55 PM CEST
  602. // TclEventType: WAVEFORM_MODEL_EVENT
  603. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  604. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  605. // TclEventType: SIMULATION_OBJECT_TREE_RESTORED
  606. // TclEventType: WAVEFORM_MODEL_EVENT
  607. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  608. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  609. // TclEventType: WAVEFORM_MODEL_EVENT
  610. // TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
  611. // TclEventType: SIMULATION_CURRENT_STACK_CHANGED
  612. // TclEventType: SIMULATION_UPDATE_STACK_FRAMES
  613. // TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
  614. // TclEventType: SIMULATION_UPDATE_LOCALS
  615. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  616. // TclEventType: SIMULATION_UPDATE_STACKS
  617. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  618. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  619. // Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  620. // Tcl Message: launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:09 . Memory (MB): peak = 1251.465 ; gain = 0.000
  621. // 'd' command handler elapsed time: 11 seconds
  622. dismissDialog("Run Simulation"); // e
  623. // TclEventType: WAVEFORM_MODEL_EVENT
  624. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  625. // TclEventType: WAVEFORM_MODEL_EVENT
  626. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  627. // Elapsed time: 12 seconds
  628. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 376, 256); // b
  629. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 118 MB. Current time: 5/18/22, 8:03:10 PM CEST
  630. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  631. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 91 MB. Current time: 5/18/22, 8:03:10 PM CEST
  632. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  633. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 95 MB. Current time: 5/18/22, 8:03:10 PM CEST
  634. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  635. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 87 MB. Current time: 5/18/22, 8:03:10 PM CEST
  636. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  637. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 87 MB. Current time: 5/18/22, 8:03:10 PM CEST
  638. selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // D
  639. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  640. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 92, 95); // b
  641. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 87 MB. Current time: 5/18/22, 8:03:20 PM CEST
  642. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  643. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 87 MB. Current time: 5/18/22, 8:03:20 PM CEST
  644. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  645. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 94 MB. Current time: 5/18/22, 8:03:20 PM CEST
  646. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  647. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 87 MB. Current time: 5/18/22, 8:03:21 PM CEST
  648. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  649. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 87 MB. Current time: 5/18/22, 8:03:21 PM CEST
  650. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  651. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 87 MB. Current time: 5/18/22, 8:03:21 PM CEST
  652. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  653. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 87 MB. Current time: 5/18/22, 8:03:21 PM CEST
  654. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  655. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 611, 112); // b
  656. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  657. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  658. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  659. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  660. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  661. // TclEventType: WAVEFORM_MOVE_CURSOR
  662. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 87 MB. Current time: 5/18/22, 8:03:22 PM CEST
  663. selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // D
  664. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  665. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  666. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  667. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  668. // Elapsed time: 43 seconds
  669. selectCodeEditor("pwm_test.vhd", 188, 179); // be
  670. selectCodeEditor("pwm_test.vhd", 186, 164); // be
  671. selectCodeEditor("pwm_test.vhd", 179, 143); // be
  672. selectCodeEditor("pwm_test.vhd", 708, 287); // be
  673. // TclEventType: DG_GRAPH_STALE
  674. // WARNING: HEventQueue.dispatchEvent() is taking 1143 ms.
  675. // TclEventType: DG_GRAPH_STALE
  676. // TclEventType: FILE_SET_CHANGE
  677. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 14, false); // n
  678. // Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
  679. // TclEventType: RUN_MODIFY
  680. // TclEventType: RUN_RESET
  681. // TclEventType: RUN_STATUS_CHANGE
  682. // TclEventType: RUN_RESET
  683. // TclEventType: RUN_MODIFY
  684. // Tcl Message: reset_run synth_1
  685. // Tcl Message: INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  686. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  687. dismissDialog("Launch Runs"); // f
  688. // TclEventType: RUN_LAUNCH
  689. // TclEventType: RUN_MODIFY
  690. // Tcl Message: launch_runs synth_1 -jobs 6
  691. // Tcl Message: [Wed May 18 20:04:35 2022] Launched synth_1... Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  692. dismissDialog("Starting Design Runs"); // bA
  693. // TclEventType: FILE_SET_CHANGE
  694. // TclEventType: RUN_STATUS_CHANGE
  695. // TclEventType: RUN_COMPLETED
  696. // TclEventType: RUN_STATUS_CHANGE
  697. // TclEventType: RUN_STEP_COMPLETED
  698. // Elapsed time: 53 seconds
  699. selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a
  700. dismissDialog("Synthesis Completed"); // ag
  701. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // n
  702. selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ao
  703. // Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
  704. selectButton("OptionPane.button", "Yes"); // JButton
  705. // TclEventType: WAVEFORM_CLOSE_WCFG
  706. // TclEventType: SIMULATION_CLOSE_SIMULATION
  707. // Tcl Message: close_sim
  708. // Tcl Message: INFO: [Simtcl 6-16] Simulation closed
  709. dismissDialog("Close"); // bA
  710. // TclEventType: LAUNCH_SIM
  711. // TclEventType: FILE_SET_OPTIONS_CHANGE
  712. // Tcl Message: launch_simulation
  713. // Tcl Message: Command: launch_simulation
  714. // Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  715. // Tcl Message: "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  716. // TclEventType: LAUNCH_SIM_LOG
  717. // Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'regler'
  718. // Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  719. // Tcl Message: "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  720. // TclEventType: LAUNCH_SIM
  721. // Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim
  722. // Tcl Message: with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  723. // Tcl Message: INFO: [USF-XSim-8] Loading simulator feature
  724. // TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
  725. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  726. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  727. // TclEventType: SIMULATION_UPDATE_STACKS
  728. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  729. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  730. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  731. // TclEventType: SIMULATION_UPDATE_STACKS
  732. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  733. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  734. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  735. // TclEventType: SIMULATION_UPDATE_STACKS
  736. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  737. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  738. closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
  739. // TclEventType: WAVEFORM_UPDATE_TITLE
  740. // TclEventType: WAVEFORM_OPEN_WCFG
  741. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  742. // TclEventType: SIMULATION_UPDATE_STACKS
  743. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  744. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  745. // Tcl Message: Time resolution is 1 ps
  746. // TclEventType: WAVEFORM_OPEN_WCFG
  747. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  748. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  749. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  750. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  751. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  752. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  753. // Tcl Message: open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  754. // Tcl Message: source pwm_test_db.tcl
  755. // Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 5 s
  756. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  757. // TclEventType: WAVEFORM_MODEL_EVENT
  758. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  759. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  760. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 92 MB. Current time: 5/18/22, 8:05:42 PM CEST
  761. // TclEventType: WAVEFORM_MODEL_EVENT
  762. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  763. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  764. // TclEventType: SIMULATION_OBJECT_TREE_RESTORED
  765. // TclEventType: WAVEFORM_MODEL_EVENT
  766. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  767. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  768. // TclEventType: WAVEFORM_MODEL_EVENT
  769. // TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
  770. // TclEventType: SIMULATION_CURRENT_STACK_CHANGED
  771. // TclEventType: SIMULATION_UPDATE_STACK_FRAMES
  772. // TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
  773. // TclEventType: SIMULATION_UPDATE_LOCALS
  774. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  775. // TclEventType: SIMULATION_UPDATE_STACKS
  776. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  777. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  778. // Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  779. // Tcl Message: launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:09 . Memory (MB): peak = 1254.031 ; gain = 0.000
  780. // 'd' command handler elapsed time: 11 seconds
  781. dismissDialog("Run Simulation"); // e
  782. // TclEventType: WAVEFORM_MODEL_EVENT
  783. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  784. // TclEventType: WAVEFORM_MODEL_EVENT
  785. selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // D
  786. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  787. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 227, 331); // b
  788. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 92 MB. Current time: 5/18/22, 8:05:47 PM CEST
  789. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  790. // Elapsed time: 20 seconds
  791. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 255, 170); // b
  792. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  793. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  794. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  795. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  796. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  797. // TclEventType: WAVEFORM_MOVE_CURSOR
  798. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 143, 103); // b
  799. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  800. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  801. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  802. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  803. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  804. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  805. // TclEventType: WAVEFORM_MOVE_CURSOR
  806. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 194, 277); // b
  807. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  808. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 92 MB. Current time: 5/18/22, 8:06:11 PM CEST
  809. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  810. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 202, 269); // b
  811. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  812. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  813. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  814. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  815. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  816. // TclEventType: WAVEFORM_MOVE_CURSOR
  817. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  818. // Elapsed time: 20 seconds
  819. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  820. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  821. // Elapsed time: 14 seconds
  822. selectCodeEditor("pwm_test.vhd", 170, 199); // be
  823. // Elapsed time: 79 seconds
  824. selectCodeEditor("pwm_test.vhd", 131, 451); // be
  825. selectCodeEditor("pwm_test.vhd", 131, 451, false, false, false, false, true); // be - Double Click
  826. selectCodeEditor("pwm_test.vhd", 400, 450); // be
  827. selectCodeEditor("pwm_test.vhd", 453, 222); // be
  828. // Elapsed time: 21 seconds
  829. selectCodeEditor("pwm_test.vhd", 376, 479); // be
  830. typeControlKey((HResource) null, "pwm_test.vhd", 'c'); // be
  831. selectCodeEditor("pwm_test.vhd", 232, 223); // be
  832. typeControlKey((HResource) null, "pwm_test.vhd", 'v'); // be
  833. selectCodeEditor("pwm_test.vhd", 44, 223); // be
  834. selectCodeEditor("pwm_test.vhd", 45, 217); // be
  835. selectCodeEditor("pwm_test.vhd", 22, 294); // be
  836. selectCodeEditor("pwm_test.vhd", 31, 296); // be
  837. selectCodeEditor("pwm_test.vhd", 30, 313); // be
  838. selectCodeEditor("pwm_test.vhd", 111, 311); // be
  839. selectCodeEditor("pwm_test.vhd", 281, 322); // be
  840. selectCodeEditor("pwm_test.vhd", 282, 331); // be
  841. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 14, false); // n
  842. // Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
  843. selectButton(PAResourceQtoS.SaveProjectUtils_SAVE, "Save"); // a
  844. // TclEventType: DG_GRAPH_STALE
  845. // [GUI Memory]: 155 MB (+2670kb) [00:39:10]
  846. // WARNING: HEventQueue.dispatchEvent() is taking 1589 ms.
  847. dismissDialog("Save Project"); // al
  848. // TclEventType: DG_GRAPH_STALE
  849. // TclEventType: RUN_MODIFY
  850. // TclEventType: DG_GRAPH_STALE
  851. // TclEventType: RUN_MODIFY
  852. // TclEventType: FILE_SET_CHANGE
  853. // TclEventType: RUN_RESET
  854. // TclEventType: FILE_SET_CHANGE
  855. // TclEventType: RUN_RESET
  856. // TclEventType: RUN_STATUS_CHANGE
  857. // TclEventType: RUN_RESET
  858. // TclEventType: RUN_MODIFY
  859. // Tcl Message: reset_run synth_1
  860. // Tcl Message: INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  861. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  862. dismissDialog("Launch Runs"); // f
  863. // Tcl Message: launch_runs synth_1 -jobs 6
  864. // TclEventType: RUN_LAUNCH
  865. // TclEventType: RUN_STATUS_CHANGE
  866. // TclEventType: RUN_MODIFY
  867. // Tcl Message: [Wed May 18 20:09:08 2022] Launched synth_1... Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  868. // 'k' command handler elapsed time: 5 seconds
  869. dismissDialog("Starting Design Runs"); // bA
  870. // TclEventType: FILE_SET_CHANGE
  871. // TclEventType: RUN_STATUS_CHANGE
  872. selectCodeEditor("pwm_test.vhd", 375, 412); // be
  873. selectTab((HResource) null, (HResource) null, "Messages", 1); // aL
  874. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 14, false); // n
  875. // Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
  876. selectButton("OptionPane.button", "OK"); // JButton
  877. // TclEventType: RUN_MODIFY
  878. // TclEventType: RUN_RESET
  879. // Tcl Message: reset_run synth_1
  880. // TclEventType: RUN_STATUS_CHANGE
  881. // TclEventType: RUN_RESET
  882. // TclEventType: RUN_MODIFY
  883. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  884. // TclEventType: RUN_LAUNCH
  885. dismissDialog("Launch Runs"); // f
  886. // TclEventType: RUN_MODIFY
  887. // Tcl Message: launch_runs synth_1 -jobs 6
  888. // Tcl Message: [Wed May 18 20:09:25 2022] Launched synth_1... Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  889. // 'k' command handler elapsed time: 3 seconds
  890. dismissDialog("Starting Design Runs"); // bA
  891. // TclEventType: RUN_STATUS_CHANGE
  892. // Elapsed time: 18 seconds
  893. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Vivado Commands, General Messages, [Vivado 12-1017] Problems encountered:. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1. . ]", 36, true); // ah - Node
  894. // TclEventType: RUN_COMPLETED
  895. // TclEventType: RUN_STATUS_CHANGE
  896. // TclEventType: RUN_STEP_COMPLETED
  897. // Elapsed time: 45 seconds
  898. selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a
  899. dismissDialog("Synthesis Completed"); // ag
  900. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 14, false); // n
  901. // Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
  902. selectButton("OptionPane.button", "Cancel"); // JButton
  903. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // n
  904. selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ao
  905. // Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
  906. selectButton("OptionPane.button", "Yes"); // JButton
  907. // TclEventType: WAVEFORM_CLOSE_WCFG
  908. // TclEventType: SIMULATION_CLOSE_SIMULATION
  909. // Tcl Message: close_sim
  910. // Tcl Message: INFO: [Simtcl 6-16] Simulation closed
  911. dismissDialog("Close"); // bA
  912. // TclEventType: LAUNCH_SIM
  913. // TclEventType: FILE_SET_OPTIONS_CHANGE
  914. // Tcl Message: launch_simulation
  915. // Tcl Message: Command: launch_simulation
  916. // Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  917. // Tcl Message: "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  918. // TclEventType: LAUNCH_SIM_LOG
  919. // Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'regler'
  920. // Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  921. // Tcl Message: "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  922. // TclEventType: LAUNCH_SIM
  923. // Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim
  924. // Tcl Message: with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  925. // Tcl Message: INFO: [USF-XSim-8] Loading simulator feature
  926. // TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
  927. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  928. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  929. // TclEventType: SIMULATION_UPDATE_STACKS
  930. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  931. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  932. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  933. // TclEventType: SIMULATION_UPDATE_STACKS
  934. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  935. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  936. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  937. // TclEventType: SIMULATION_UPDATE_STACKS
  938. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  939. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  940. closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
  941. // Tcl Message: Time resolution is 1 ps
  942. // TclEventType: WAVEFORM_UPDATE_TITLE
  943. // TclEventType: WAVEFORM_OPEN_WCFG
  944. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  945. // TclEventType: SIMULATION_UPDATE_STACKS
  946. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  947. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  948. // TclEventType: WAVEFORM_OPEN_WCFG
  949. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  950. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  951. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  952. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  953. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  954. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  955. // Tcl Message: open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  956. // Tcl Message: source pwm_test_db.tcl
  957. // Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 5 s
  958. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  959. // TclEventType: WAVEFORM_MODEL_EVENT
  960. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  961. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  962. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 97 MB. Current time: 5/18/22, 8:10:43 PM CEST
  963. // TclEventType: WAVEFORM_MODEL_EVENT
  964. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  965. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  966. // TclEventType: SIMULATION_OBJECT_TREE_RESTORED
  967. // TclEventType: WAVEFORM_MODEL_EVENT
  968. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  969. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  970. // TclEventType: WAVEFORM_MODEL_EVENT
  971. // TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
  972. // TclEventType: SIMULATION_CURRENT_STACK_CHANGED
  973. // TclEventType: SIMULATION_UPDATE_STACK_FRAMES
  974. // TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
  975. // TclEventType: SIMULATION_UPDATE_LOCALS
  976. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  977. // TclEventType: SIMULATION_UPDATE_STACKS
  978. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  979. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  980. // Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  981. // Tcl Message: launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:09 . Memory (MB): peak = 1255.961 ; gain = 0.000
  982. // 'd' command handler elapsed time: 11 seconds
  983. dismissDialog("Run Simulation"); // e
  984. // TclEventType: WAVEFORM_MODEL_EVENT
  985. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  986. // TclEventType: WAVEFORM_MODEL_EVENT
  987. selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // D
  988. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  989. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 187, 56); // b
  990. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  991. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  992. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  993. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  994. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  995. // TclEventType: WAVEFORM_MOVE_CURSOR
  996. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 245, 65); // b
  997. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  998. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  999. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1000. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1001. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1002. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1003. // TclEventType: WAVEFORM_MOVE_CURSOR
  1004. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 96 MB. Current time: 5/18/22, 8:10:57 PM CEST
  1005. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 178, 404); // b
  1006. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1007. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1008. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1009. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1010. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1011. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1012. // TclEventType: WAVEFORM_MOVE_CURSOR
  1013. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  1014. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  1015. // Elapsed time: 16 seconds
  1016. selectCodeEditor("pt1.vhd", 161, 385); // be
  1017. selectCodeEditor("pt1.vhd", 380, 484); // be
  1018. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  1019. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  1020. selectCodeEditor("pt1.vhd", 159, 197); // be
  1021. // TclEventType: DG_GRAPH_STALE
  1022. // WARNING: HEventQueue.dispatchEvent() is taking 2496 ms.
  1023. // TclEventType: DG_GRAPH_STALE
  1024. // TclEventType: FILE_SET_CHANGE
  1025. // WARNING: HSwingWorker (Refresh Filesets Swing Worker) is taking 2184 ms. Increasing delay to 6552 ms.
  1026. // Elapsed time: 45 seconds
  1027. selectCodeEditor("pt1.vhd", 272, 217); // be
  1028. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  1029. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  1030. selectCodeEditor("pt1.vhd", 305, 478); // be
  1031. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  1032. selectCodeEditor("pwm_test.vhd", 167, 62); // be
  1033. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  1034. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  1035. selectCodeEditor("pwm_test.vhd", 487, 128); // be
  1036. typeControlKey((HResource) null, "pwm_test.vhd", 'c'); // be
  1037. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  1038. selectCodeEditor("pt1.vhd", 709, 207); // be
  1039. typeControlKey((HResource) null, "pt1.vhd", 'v'); // be
  1040. selectCodeEditor("pt1.vhd", 269, 245); // be
  1041. selectCodeEditor("pt1.vhd", 205, 225); // be
  1042. selectCodeEditor("pt1.vhd", 226, 213); // be
  1043. selectCodeEditor("pt1.vhd", 491, 320); // be
  1044. // TclEventType: DG_GRAPH_STALE
  1045. // WARNING: HEventQueue.dispatchEvent() is taking 2421 ms.
  1046. // TclEventType: DG_GRAPH_STALE
  1047. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 14, false); // n
  1048. // TclEventType: FILE_SET_CHANGE
  1049. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 14, false); // n
  1050. // Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
  1051. selectButton("OptionPane.button", "OK"); // JButton
  1052. // TclEventType: RUN_MODIFY
  1053. // TclEventType: RUN_RESET
  1054. // TclEventType: RUN_STATUS_CHANGE
  1055. // TclEventType: RUN_RESET
  1056. // TclEventType: RUN_MODIFY
  1057. // Tcl Message: reset_run synth_1
  1058. // Tcl Message: INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  1059. // TclEventType: FILE_SET_CHANGE
  1060. // Elapsed time: 15 seconds
  1061. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  1062. dismissDialog("Launch Runs"); // f
  1063. // TclEventType: RUN_LAUNCH
  1064. // TclEventType: RUN_MODIFY
  1065. // Tcl Message: launch_runs synth_1 -jobs 6
  1066. // Tcl Message: [Wed May 18 20:13:37 2022] Launched synth_1... Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  1067. // 'k' command handler elapsed time: 17 seconds
  1068. dismissDialog("Starting Design Runs"); // bA
  1069. // TclEventType: RUN_STATUS_CHANGE
  1070. // TclEventType: RUN_COMPLETED
  1071. // TclEventType: RUN_STATUS_CHANGE
  1072. // TclEventType: RUN_STEP_COMPLETED
  1073. // Elapsed time: 60 seconds
  1074. selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a
  1075. dismissDialog("Synthesis Completed"); // ag
  1076. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // n
  1077. selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ao
  1078. // Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
  1079. selectButton("OptionPane.button", "Yes"); // JButton
  1080. // TclEventType: WAVEFORM_CLOSE_WCFG
  1081. // TclEventType: SIMULATION_CLOSE_SIMULATION
  1082. // Tcl Message: close_sim
  1083. // Tcl Message: INFO: [Simtcl 6-16] Simulation closed
  1084. dismissDialog("Close"); // bA
  1085. // TclEventType: LAUNCH_SIM
  1086. // TclEventType: FILE_SET_OPTIONS_CHANGE
  1087. // Tcl Message: launch_simulation
  1088. // Tcl Message: Command: launch_simulation
  1089. // Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  1090. // Tcl Message: "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  1091. // TclEventType: LAUNCH_SIM_LOG
  1092. // Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'pt1'
  1093. // Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1094. // Tcl Message: "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  1095. // TclEventType: LAUNCH_SIM
  1096. // Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim
  1097. // Tcl Message: with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  1098. // Tcl Message: INFO: [USF-XSim-8] Loading simulator feature
  1099. // TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
  1100. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  1101. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1102. // TclEventType: SIMULATION_UPDATE_STACKS
  1103. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1104. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1105. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1106. // TclEventType: SIMULATION_UPDATE_STACKS
  1107. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1108. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1109. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1110. // TclEventType: SIMULATION_UPDATE_STACKS
  1111. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1112. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1113. closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
  1114. // TclEventType: WAVEFORM_UPDATE_TITLE
  1115. // TclEventType: WAVEFORM_OPEN_WCFG
  1116. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1117. // TclEventType: SIMULATION_UPDATE_STACKS
  1118. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1119. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1120. // TclEventType: WAVEFORM_OPEN_WCFG
  1121. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1122. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  1123. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1124. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  1125. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1126. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  1127. // Tcl Message: Time resolution is 1 ps
  1128. // Tcl Message: open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  1129. // Tcl Message: source pwm_test_db.tcl
  1130. // Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 5 s
  1131. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  1132. // TclEventType: WAVEFORM_MODEL_EVENT
  1133. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1134. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  1135. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 101 MB. Current time: 5/18/22, 8:14:54 PM CEST
  1136. // TclEventType: WAVEFORM_MODEL_EVENT
  1137. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1138. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  1139. // TclEventType: SIMULATION_OBJECT_TREE_RESTORED
  1140. // TclEventType: WAVEFORM_MODEL_EVENT
  1141. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1142. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  1143. // TclEventType: WAVEFORM_MODEL_EVENT
  1144. // TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
  1145. // TclEventType: SIMULATION_CURRENT_STACK_CHANGED
  1146. // TclEventType: SIMULATION_UPDATE_STACK_FRAMES
  1147. // TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
  1148. // TclEventType: SIMULATION_UPDATE_LOCALS
  1149. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1150. // TclEventType: SIMULATION_UPDATE_STACKS
  1151. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1152. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  1153. // Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  1154. // Tcl Message: launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:09 . Memory (MB): peak = 1257.480 ; gain = 0.000
  1155. // 'd' command handler elapsed time: 13 seconds
  1156. dismissDialog("Run Simulation"); // e
  1157. // TclEventType: WAVEFORM_MODEL_EVENT
  1158. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1159. // TclEventType: WAVEFORM_MODEL_EVENT
  1160. // Elapsed time: 13 seconds
  1161. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 74, 77); // b
  1162. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1163. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1164. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1165. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1166. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1167. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1168. // TclEventType: WAVEFORM_MOVE_CURSOR
  1169. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 77, 77); // b
  1170. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1171. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1172. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1173. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1174. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1175. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1176. // TclEventType: WAVEFORM_MOVE_CURSOR
  1177. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 84, 145); // b
  1178. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1179. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1180. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1181. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1182. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1183. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1184. // TclEventType: WAVEFORM_MOVE_CURSOR
  1185. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 101 MB. Current time: 5/18/22, 8:15:12 PM CEST
  1186. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 100, 158); // b
  1187. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1188. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1189. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1190. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1191. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1192. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1193. // TclEventType: WAVEFORM_MOVE_CURSOR
  1194. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 106, 145); // b
  1195. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1196. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1197. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1198. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1199. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1200. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1201. // TclEventType: WAVEFORM_MOVE_CURSOR
  1202. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 106, 145, false, false, false, false, true); // b - Double Click
  1203. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1204. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1205. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1206. // TclEventType: WAVEFORM_MOVE_CURSOR
  1207. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 100 MB. Current time: 5/18/22, 8:15:14 PM CEST
  1208. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1209. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 108, 145); // b
  1210. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1211. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1212. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1213. // TclEventType: WAVEFORM_MOVE_CURSOR
  1214. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1215. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1216. // TclEventType: WAVEFORM_MOVE_CURSOR
  1217. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1218. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1219. // TclEventType: WAVEFORM_MOVE_CURSOR
  1220. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1221. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 100 MB. Current time: 5/18/22, 8:15:14 PM CEST
  1222. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 114, 144); // b
  1223. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1224. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1225. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1226. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1227. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1228. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1229. // TclEventType: WAVEFORM_MOVE_CURSOR
  1230. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 114, 144, false, false, false, false, true); // b - Double Click
  1231. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1232. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1233. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1234. // TclEventType: WAVEFORM_MOVE_CURSOR
  1235. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1236. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 100 MB. Current time: 5/18/22, 8:15:15 PM CEST
  1237. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 117, 145); // b
  1238. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1239. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1240. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1241. // TclEventType: WAVEFORM_MOVE_CURSOR
  1242. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1243. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 118, 146); // b
  1244. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1245. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1246. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1247. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1248. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1249. // TclEventType: WAVEFORM_MOVE_CURSOR
  1250. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 100 MB. Current time: 5/18/22, 8:15:15 PM CEST
  1251. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 120, 147); // b
  1252. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1253. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1254. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1255. // TclEventType: WAVEFORM_MOVE_CURSOR
  1256. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1257. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1258. // TclEventType: WAVEFORM_MOVE_CURSOR
  1259. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1260. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1261. // TclEventType: WAVEFORM_MOVE_CURSOR
  1262. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1263. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1264. // TclEventType: WAVEFORM_MOVE_CURSOR
  1265. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1266. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1267. // TclEventType: WAVEFORM_MOVE_CURSOR
  1268. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1269. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 130, 154); // b
  1270. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1271. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1272. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1273. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1274. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1275. // TclEventType: WAVEFORM_MOVE_CURSOR
  1276. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 100 MB. Current time: 5/18/22, 8:15:15 PM CEST
  1277. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1278. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  1279. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  1280. selectCodeEditor("pt1.vhd", 239, 192); // be
  1281. selectCodeEditor("pt1.vhd", 467, 219); // be
  1282. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 14, false); // n
  1283. // Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
  1284. selectButton(PAResourceQtoS.SaveProjectUtils_SAVE, "Save"); // a
  1285. // TclEventType: DG_GRAPH_STALE
  1286. // WARNING: HEventQueue.dispatchEvent() is taking 3154 ms.
  1287. dismissDialog("Save Project"); // al
  1288. // TclEventType: DG_GRAPH_STALE
  1289. // TclEventType: RUN_MODIFY
  1290. // TclEventType: DG_GRAPH_STALE
  1291. // TclEventType: RUN_MODIFY
  1292. // TclEventType: FILE_SET_CHANGE
  1293. // TclEventType: RUN_RESET
  1294. // TclEventType: FILE_SET_CHANGE
  1295. // TclEventType: RUN_RESET
  1296. // TclEventType: RUN_STATUS_CHANGE
  1297. // TclEventType: RUN_RESET
  1298. // TclEventType: RUN_MODIFY
  1299. // Tcl Message: reset_run synth_1
  1300. // Tcl Message: INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  1301. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  1302. dismissDialog("Launch Runs"); // f
  1303. // Tcl Message: launch_runs synth_1 -jobs 6
  1304. // TclEventType: RUN_LAUNCH
  1305. // TclEventType: RUN_MODIFY
  1306. // Tcl Message: [Wed May 18 20:15:34 2022] Launched synth_1... Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  1307. // 'k' command handler elapsed time: 7 seconds
  1308. dismissDialog("Starting Design Runs"); // bA
  1309. // TclEventType: RUN_STATUS_CHANGE
  1310. // TclEventType: FILE_SET_CHANGE
  1311. // TclEventType: RUN_STATUS_CHANGE
  1312. selectCodeEditor("pt1.vhd", 376, 201); // be
  1313. // TclEventType: RUN_COMPLETED
  1314. // TclEventType: RUN_STATUS_CHANGE
  1315. // TclEventType: RUN_STEP_COMPLETED
  1316. // Elapsed time: 61 seconds
  1317. selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a
  1318. dismissDialog("Synthesis Completed"); // ag
  1319. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // n
  1320. selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ao
  1321. // Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
  1322. selectButton("OptionPane.button", "Yes"); // JButton
  1323. // TclEventType: WAVEFORM_CLOSE_WCFG
  1324. // TclEventType: SIMULATION_CLOSE_SIMULATION
  1325. // Tcl Message: close_sim
  1326. // Tcl Message: INFO: [Simtcl 6-16] Simulation closed
  1327. dismissDialog("Close"); // bA
  1328. // TclEventType: LAUNCH_SIM
  1329. // TclEventType: FILE_SET_OPTIONS_CHANGE
  1330. // Tcl Message: launch_simulation
  1331. // Tcl Message: Command: launch_simulation
  1332. // Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  1333. // Tcl Message: "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  1334. // TclEventType: LAUNCH_SIM_LOG
  1335. // Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'pt1'
  1336. // Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1337. // Tcl Message: "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  1338. // TclEventType: LAUNCH_SIM
  1339. // Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim
  1340. // Tcl Message: with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  1341. // Tcl Message: INFO: [USF-XSim-8] Loading simulator feature
  1342. // TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
  1343. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  1344. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1345. // TclEventType: SIMULATION_UPDATE_STACKS
  1346. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1347. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1348. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1349. // TclEventType: SIMULATION_UPDATE_STACKS
  1350. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1351. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1352. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1353. // TclEventType: SIMULATION_UPDATE_STACKS
  1354. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1355. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1356. closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
  1357. // Tcl Message: Time resolution is 1 ps
  1358. // TclEventType: WAVEFORM_UPDATE_TITLE
  1359. // TclEventType: WAVEFORM_OPEN_WCFG
  1360. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1361. // TclEventType: SIMULATION_UPDATE_STACKS
  1362. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1363. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1364. // TclEventType: WAVEFORM_OPEN_WCFG
  1365. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1366. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  1367. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1368. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  1369. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1370. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  1371. // Tcl Message: open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  1372. // Tcl Message: source pwm_test_db.tcl
  1373. // Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 5 s
  1374. // [GUI Memory]: 167 MB (+4784kb) [00:46:59]
  1375. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  1376. // TclEventType: WAVEFORM_MODEL_EVENT
  1377. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1378. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  1379. // TclEventType: SIMULATION_OBJECT_TREE_RESTORED
  1380. // TclEventType: WAVEFORM_MODEL_EVENT
  1381. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 105 MB. Current time: 5/18/22, 8:16:53 PM CEST
  1382. // TclEventType: WAVEFORM_MODEL_EVENT
  1383. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1384. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  1385. // TclEventType: WAVEFORM_MODEL_EVENT
  1386. // TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
  1387. // TclEventType: SIMULATION_CURRENT_STACK_CHANGED
  1388. // TclEventType: SIMULATION_UPDATE_STACK_FRAMES
  1389. // TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
  1390. // TclEventType: SIMULATION_UPDATE_LOCALS
  1391. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1392. // TclEventType: SIMULATION_UPDATE_STACKS
  1393. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1394. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  1395. // Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  1396. // Tcl Message: launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:08 . Memory (MB): peak = 1257.480 ; gain = 0.000
  1397. // 'd' command handler elapsed time: 10 seconds
  1398. dismissDialog("Run Simulation"); // e
  1399. // TclEventType: WAVEFORM_MODEL_EVENT
  1400. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1401. // TclEventType: WAVEFORM_MODEL_EVENT
  1402. // Elapsed time: 26 seconds
  1403. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 172, 216); // b
  1404. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1405. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1406. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1407. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1408. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1409. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1410. // TclEventType: WAVEFORM_MOVE_CURSOR
  1411. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 100, 191); // b
  1412. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1413. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1414. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1415. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1416. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1417. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1418. // TclEventType: WAVEFORM_MOVE_CURSOR
  1419. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 98, 180); // b
  1420. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1421. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1422. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1423. // TclEventType: WAVEFORM_MOVE_CURSOR
  1424. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 105 MB. Current time: 5/18/22, 8:17:21 PM CEST
  1425. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1426. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1427. // TclEventType: WAVEFORM_MOVE_CURSOR
  1428. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1429. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  1430. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  1431. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  1432. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 3); // m
  1433. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  1434. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 3); // m
  1435. // Elapsed time: 14 seconds
  1436. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  1437. // Elapsed time: 14 seconds
  1438. selectCodeEditor("pwm_test_db.vhd", 166, 303, false, false, false, true, false); // be - Popup Trigger
  1439. selectCodeEditor("pwm_test_db.vhd", 112, 263); // be
  1440. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  1441. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  1442. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 3); // m
  1443. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  1444. selectCodeEditor("pwm_test_db.vhd", 67, 359); // be
  1445. selectCodeEditor("pwm_test_db.vhd", 67, 359, false, false, false, false, true); // be - Double Click
  1446. selectCodeEditor("pwm_test_db.vhd", 56, 318); // be
  1447. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  1448. selectCodeEditor("pt1.vhd", 219, 195); // be
  1449. selectCodeEditor("pt1.vhd", 219, 195, false, false, false, false, true); // be - Double Click
  1450. selectCodeEditor("pt1.vhd", 222, 252); // be
  1451. selectCodeEditor("pt1.vhd", 221, 196); // be
  1452. selectCodeEditor("pt1.vhd", 221, 196, false, false, false, false, true); // be - Double Click
  1453. selectCodeEditor("pt1.vhd", 224, 262); // be
  1454. selectCodeEditor("pt1.vhd", 308, 196); // be
  1455. selectCodeEditor("pt1.vhd", 343, 192); // be
  1456. selectCodeEditor("pt1.vhd", 354, 192); // be
  1457. selectCodeEditor("pt1.vhd", 282, 209); // be
  1458. selectCodeEditor("pt1.vhd", 263, 196); // be
  1459. selectCodeEditor("pt1.vhd", 304, 263); // be
  1460. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  1461. selectCodeEditor("pwm_test_db.vhd", 99, 198); // be
  1462. selectCodeEditor("pwm_test_db.vhd", 307, 340); // be
  1463. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  1464. selectCodeEditor("pt1.vhd", 224, 203); // be
  1465. // TclEventType: DG_GRAPH_STALE
  1466. // WARNING: HEventQueue.dispatchEvent() is taking 3622 ms.
  1467. // TclEventType: DG_GRAPH_STALE
  1468. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  1469. // TclEventType: FILE_SET_CHANGE
  1470. selectCodeEditor("pwm_test.vhd", 228, 289); // be
  1471. selectCodeEditor("pwm_test.vhd", 174, 214); // be
  1472. selectCodeEditor("pwm_test.vhd", 203, 263); // be
  1473. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  1474. selectCodeEditor("pwm_test_db.vhd", 323, 384); // be
  1475. // TclEventType: DG_GRAPH_STALE
  1476. // WARNING: HEventQueue.dispatchEvent() is taking 3837 ms.
  1477. // TclEventType: DG_GRAPH_STALE
  1478. // TclEventType: FILE_SET_CHANGE
  1479. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 3); // m
  1480. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 14, false); // n
  1481. // Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
  1482. selectButton("OptionPane.button", "OK"); // JButton
  1483. // TclEventType: RUN_MODIFY
  1484. // TclEventType: RUN_RESET
  1485. // TclEventType: RUN_STATUS_CHANGE
  1486. // TclEventType: RUN_RESET
  1487. // TclEventType: RUN_MODIFY
  1488. // Tcl Message: reset_run synth_1
  1489. // Tcl Message: INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  1490. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  1491. dismissDialog("Launch Runs"); // f
  1492. // TclEventType: RUN_LAUNCH
  1493. // TclEventType: RUN_MODIFY
  1494. // Tcl Message: launch_runs synth_1 -jobs 6
  1495. // Tcl Message: [Wed May 18 20:19:22 2022] Launched synth_1... Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  1496. // 'k' command handler elapsed time: 4 seconds
  1497. dismissDialog("Starting Design Runs"); // bA
  1498. // TclEventType: FILE_SET_CHANGE
  1499. // TclEventType: RUN_STATUS_CHANGE
  1500. // TclEventType: RUN_COMPLETED
  1501. // TclEventType: RUN_STATUS_CHANGE
  1502. // TclEventType: RUN_STEP_COMPLETED
  1503. // Elapsed time: 66 seconds
  1504. selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a
  1505. dismissDialog("Synthesis Completed"); // ag
  1506. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // n
  1507. selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ao
  1508. // Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
  1509. selectButton("OptionPane.button", "Yes"); // JButton
  1510. // TclEventType: WAVEFORM_CLOSE_WCFG
  1511. // TclEventType: SIMULATION_CLOSE_SIMULATION
  1512. // Tcl Message: close_sim
  1513. // Tcl Message: INFO: [Simtcl 6-16] Simulation closed
  1514. dismissDialog("Close"); // bA
  1515. // TclEventType: LAUNCH_SIM
  1516. // TclEventType: FILE_SET_OPTIONS_CHANGE
  1517. // Tcl Message: launch_simulation
  1518. // Tcl Message: Command: launch_simulation
  1519. // Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  1520. // Tcl Message: "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  1521. // TclEventType: LAUNCH_SIM_LOG
  1522. // Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'pt1' INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  1523. // Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1524. // Tcl Message: "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  1525. // TclEventType: LAUNCH_SIM
  1526. // Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim
  1527. // Tcl Message: with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  1528. // Tcl Message: INFO: [USF-XSim-8] Loading simulator feature
  1529. // TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
  1530. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  1531. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1532. // TclEventType: SIMULATION_UPDATE_STACKS
  1533. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1534. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1535. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1536. // TclEventType: SIMULATION_UPDATE_STACKS
  1537. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1538. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1539. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1540. // TclEventType: SIMULATION_UPDATE_STACKS
  1541. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1542. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1543. closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
  1544. // Tcl Message: Time resolution is 1 ps
  1545. // TclEventType: WAVEFORM_UPDATE_TITLE
  1546. // TclEventType: WAVEFORM_OPEN_WCFG
  1547. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1548. // TclEventType: SIMULATION_UPDATE_STACKS
  1549. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1550. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1551. // TclEventType: WAVEFORM_OPEN_WCFG
  1552. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1553. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  1554. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1555. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  1556. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1557. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  1558. // Tcl Message: open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  1559. // Tcl Message: source pwm_test_db.tcl
  1560. // Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 5 s
  1561. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  1562. // TclEventType: WAVEFORM_MODEL_EVENT
  1563. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1564. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  1565. // TclEventType: SIMULATION_OBJECT_TREE_RESTORED
  1566. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 102 MB. Current time: 5/18/22, 8:20:41 PM CEST
  1567. // TclEventType: WAVEFORM_MODEL_EVENT
  1568. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1569. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  1570. // TclEventType: WAVEFORM_MODEL_EVENT
  1571. // TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
  1572. // TclEventType: SIMULATION_CURRENT_STACK_CHANGED
  1573. // TclEventType: SIMULATION_UPDATE_STACK_FRAMES
  1574. // TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
  1575. // TclEventType: SIMULATION_UPDATE_LOCALS
  1576. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1577. // TclEventType: SIMULATION_UPDATE_STACKS
  1578. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1579. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  1580. // Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  1581. // Tcl Message: launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:08 . Memory (MB): peak = 1257.480 ; gain = 0.000
  1582. // 'd' command handler elapsed time: 10 seconds
  1583. dismissDialog("Run Simulation"); // e
  1584. // TclEventType: WAVEFORM_MODEL_EVENT
  1585. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1586. // TclEventType: WAVEFORM_MODEL_EVENT
  1587. selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // D
  1588. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1589. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 52, 102); // b
  1590. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1591. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1592. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1593. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1594. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1595. // TclEventType: WAVEFORM_MOVE_CURSOR
  1596. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 135, 95); // b
  1597. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1598. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1599. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1600. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1601. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1602. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1603. // TclEventType: WAVEFORM_MOVE_CURSOR
  1604. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 102 MB. Current time: 5/18/22, 8:20:49 PM CEST
  1605. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 201, 92); // b
  1606. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1607. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1608. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1609. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1610. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1611. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1612. // TclEventType: WAVEFORM_MOVE_CURSOR
  1613. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 250, 101); // b
  1614. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1615. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1616. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1617. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1618. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1619. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1620. // TclEventType: WAVEFORM_MOVE_CURSOR
  1621. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 6, 105); // b
  1622. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1623. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 102 MB. Current time: 5/18/22, 8:20:55 PM CEST
  1624. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1625. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 14, 104); // b
  1626. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1627. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1628. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1629. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1630. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1631. // TclEventType: WAVEFORM_MOVE_CURSOR
  1632. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1633. selectButton(RDIResource.WaveformView_PREVIOUS_TRANSITION, "Waveform Viewer_waveformPreviousTransition"); // D
  1634. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1635. // TclEventType: WAVEFORM_MOVE_CURSOR
  1636. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 101 MB. Current time: 5/18/22, 8:20:58 PM CEST
  1637. selectButton(RDIResource.WaveformView_PREVIOUS_TRANSITION, "Waveform Viewer_waveformPreviousTransition"); // D
  1638. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1639. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1640. // TclEventType: WAVEFORM_MOVE_CURSOR
  1641. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1642. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1643. // TclEventType: WAVEFORM_MOVE_CURSOR
  1644. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1645. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1646. // TclEventType: WAVEFORM_MOVE_CURSOR
  1647. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 101 MB. Current time: 5/18/22, 8:20:59 PM CEST
  1648. selectButton(RDIResource.WaveformView_PREVIOUS_TRANSITION, "Waveform Viewer_waveformPreviousTransition"); // D
  1649. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1650. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1651. // TclEventType: WAVEFORM_MOVE_CURSOR
  1652. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1653. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1654. // TclEventType: WAVEFORM_MOVE_CURSOR
  1655. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1656. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1657. // TclEventType: WAVEFORM_MOVE_CURSOR
  1658. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 101 MB. Current time: 5/18/22, 8:21:00 PM CEST
  1659. selectButton(RDIResource.WaveformView_PREVIOUS_TRANSITION, "Waveform Viewer_waveformPreviousTransition"); // D
  1660. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1661. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1662. // TclEventType: WAVEFORM_MOVE_CURSOR
  1663. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1664. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1665. // TclEventType: WAVEFORM_MOVE_CURSOR
  1666. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1667. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1668. // TclEventType: WAVEFORM_MOVE_CURSOR
  1669. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 101 MB. Current time: 5/18/22, 8:21:00 PM CEST
  1670. selectButton(RDIResource.WaveformView_PREVIOUS_TRANSITION, "Waveform Viewer_waveformPreviousTransition"); // D
  1671. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1672. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1673. // TclEventType: WAVEFORM_MOVE_CURSOR
  1674. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1675. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1676. // TclEventType: WAVEFORM_MOVE_CURSOR
  1677. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  1678. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1679. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1680. // TclEventType: WAVEFORM_MOVE_CURSOR
  1681. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 101 MB. Current time: 5/18/22, 8:21:02 PM CEST
  1682. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  1683. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1684. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1685. // TclEventType: WAVEFORM_MOVE_CURSOR
  1686. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1687. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1688. // TclEventType: WAVEFORM_MOVE_CURSOR
  1689. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1690. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1691. // TclEventType: WAVEFORM_MOVE_CURSOR
  1692. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 101 MB. Current time: 5/18/22, 8:21:02 PM CEST
  1693. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  1694. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1695. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1696. // TclEventType: WAVEFORM_MOVE_CURSOR
  1697. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  1698. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1699. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1700. // TclEventType: WAVEFORM_MOVE_CURSOR
  1701. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  1702. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1703. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1704. // TclEventType: WAVEFORM_MOVE_CURSOR
  1705. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 101 MB. Current time: 5/18/22, 8:21:04 PM CEST
  1706. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  1707. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1708. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1709. // TclEventType: WAVEFORM_MOVE_CURSOR
  1710. // Elapsed time: 46 seconds
  1711. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  1712. // Elapsed time: 29 seconds
  1713. selectCodeEditor("pwm_test.vhd", 167, 274); // be
  1714. selectCodeEditor("pwm_test.vhd", 431, 348); // be
  1715. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  1716. selectCodeEditor("pt1.vhd", 222, 195); // be
  1717. selectCodeEditor("pt1.vhd", 369, 133); // be
  1718. // TclEventType: DG_GRAPH_STALE
  1719. // WARNING: HEventQueue.dispatchEvent() is taking 3931 ms.
  1720. // TclEventType: DG_GRAPH_STALE
  1721. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 3); // m
  1722. // TclEventType: FILE_SET_CHANGE
  1723. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 14, false); // n
  1724. // Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
  1725. selectButton(PAResourceQtoS.SaveProjectUtils_SAVE, "Save"); // a
  1726. // TclEventType: DG_GRAPH_STALE
  1727. // WARNING: HEventQueue.dispatchEvent() is taking 4332 ms.
  1728. dismissDialog("Save Project"); // al
  1729. // TclEventType: DG_GRAPH_STALE
  1730. // TclEventType: RUN_MODIFY
  1731. // TclEventType: DG_GRAPH_STALE
  1732. // TclEventType: FILE_SET_CHANGE
  1733. // TclEventType: RUN_MODIFY
  1734. // TclEventType: RUN_RESET
  1735. // TclEventType: FILE_SET_CHANGE
  1736. // TclEventType: RUN_RESET
  1737. // TclEventType: RUN_STATUS_CHANGE
  1738. // TclEventType: RUN_RESET
  1739. // TclEventType: RUN_MODIFY
  1740. // Tcl Message: reset_run synth_1
  1741. // Tcl Message: INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  1742. selectCheckBox(PAResourceItoN.LaunchPanel_DONT_SHOW_THIS_DIALOG_AGAIN, "Don't show this dialog again", true); // g: TRUE
  1743. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  1744. // HOptionPane Warning: 'A background task is running. Please wait until it completes and try again. (Background Task)'
  1745. // TclEventType: FILE_SET_CHANGE
  1746. selectButton("OptionPane.button", "OK"); // JButton
  1747. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  1748. dismissDialog("Launch Runs"); // f
  1749. // TclEventType: RUN_LAUNCH
  1750. // TclEventType: RUN_MODIFY
  1751. // TclEventType: RUN_STATUS_CHANGE
  1752. // Tcl Message: launch_runs synth_1 -jobs 6
  1753. // Tcl Message: [Wed May 18 20:22:54 2022] Launched synth_1... Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  1754. // 'k' command handler elapsed time: 14 seconds
  1755. dismissDialog("Starting Design Runs"); // bA
  1756. // TclEventType: RUN_STATUS_CHANGE
  1757. // TclEventType: RUN_COMPLETED
  1758. // TclEventType: RUN_STATUS_CHANGE
  1759. // TclEventType: RUN_STEP_COMPLETED
  1760. // Elapsed time: 65 seconds
  1761. selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a
  1762. dismissDialog("Synthesis Completed"); // ag
  1763. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // n
  1764. selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ao
  1765. // Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
  1766. selectButton("OptionPane.button", "Yes"); // JButton
  1767. // TclEventType: WAVEFORM_CLOSE_WCFG
  1768. // TclEventType: SIMULATION_CLOSE_SIMULATION
  1769. // Tcl Message: close_sim
  1770. // Tcl Message: INFO: [Simtcl 6-16] Simulation closed
  1771. dismissDialog("Close"); // bA
  1772. // TclEventType: LAUNCH_SIM
  1773. // TclEventType: FILE_SET_OPTIONS_CHANGE
  1774. // Tcl Message: launch_simulation
  1775. // Tcl Message: Command: launch_simulation
  1776. // Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  1777. // Tcl Message: "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  1778. // TclEventType: LAUNCH_SIM_LOG
  1779. // Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'pt1' INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'regler'
  1780. // Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1781. // Tcl Message: "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  1782. // TclEventType: LAUNCH_SIM
  1783. // Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim
  1784. // Tcl Message: with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  1785. // Tcl Message: INFO: [USF-XSim-8] Loading simulator feature
  1786. // TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
  1787. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  1788. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1789. // TclEventType: SIMULATION_UPDATE_STACKS
  1790. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1791. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1792. // Tcl Message: Time resolution is 1 ps
  1793. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1794. // TclEventType: SIMULATION_UPDATE_STACKS
  1795. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1796. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1797. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1798. // TclEventType: SIMULATION_UPDATE_STACKS
  1799. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1800. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1801. closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
  1802. // TclEventType: WAVEFORM_UPDATE_TITLE
  1803. // TclEventType: WAVEFORM_OPEN_WCFG
  1804. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1805. // TclEventType: SIMULATION_UPDATE_STACKS
  1806. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1807. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1808. // TclEventType: WAVEFORM_OPEN_WCFG
  1809. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1810. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  1811. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1812. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  1813. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1814. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  1815. // Tcl Message: open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  1816. // Tcl Message: source pwm_test_db.tcl
  1817. // Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 5 s
  1818. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  1819. // TclEventType: WAVEFORM_MODEL_EVENT
  1820. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1821. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  1822. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 105 MB. Current time: 5/18/22, 8:24:13 PM CEST
  1823. // TclEventType: WAVEFORM_MODEL_EVENT
  1824. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1825. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  1826. // TclEventType: SIMULATION_OBJECT_TREE_RESTORED
  1827. // TclEventType: WAVEFORM_MODEL_EVENT
  1828. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1829. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  1830. // TclEventType: WAVEFORM_MODEL_EVENT
  1831. // TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
  1832. // TclEventType: SIMULATION_CURRENT_STACK_CHANGED
  1833. // TclEventType: SIMULATION_UPDATE_STACK_FRAMES
  1834. // TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
  1835. // TclEventType: SIMULATION_UPDATE_LOCALS
  1836. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1837. // TclEventType: SIMULATION_UPDATE_STACKS
  1838. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1839. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  1840. // Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  1841. // Tcl Message: launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:09 . Memory (MB): peak = 1257.480 ; gain = 0.000
  1842. // 'd' command handler elapsed time: 10 seconds
  1843. dismissDialog("Run Simulation"); // e
  1844. selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // D
  1845. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1846. // TclEventType: WAVEFORM_MODEL_EVENT
  1847. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1848. // TclEventType: WAVEFORM_MODEL_EVENT
  1849. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 78, 181); // b
  1850. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1851. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1852. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1853. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1854. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1855. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1856. // TclEventType: WAVEFORM_MOVE_CURSOR
  1857. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 90, 114); // b
  1858. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1859. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1860. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1861. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1862. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1863. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1864. // TclEventType: WAVEFORM_MOVE_CURSOR
  1865. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 105 MB. Current time: 5/18/22, 8:24:19 PM CEST
  1866. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1867. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 70, 170); // b
  1868. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1869. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1870. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1871. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1872. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1873. // TclEventType: WAVEFORM_MOVE_CURSOR
  1874. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1875. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1876. // TclEventType: WAVEFORM_MOVE_CURSOR
  1877. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1878. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1879. // TclEventType: WAVEFORM_MOVE_CURSOR
  1880. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1881. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1882. // TclEventType: WAVEFORM_MOVE_CURSOR
  1883. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1884. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1885. // TclEventType: WAVEFORM_MOVE_CURSOR
  1886. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 105 MB. Current time: 5/18/22, 8:24:23 PM CEST
  1887. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1888. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1889. // TclEventType: WAVEFORM_MOVE_CURSOR
  1890. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1891. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1892. // TclEventType: WAVEFORM_MOVE_CURSOR
  1893. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1894. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1895. // TclEventType: WAVEFORM_MOVE_CURSOR
  1896. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1897. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1898. // TclEventType: WAVEFORM_MOVE_CURSOR
  1899. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1900. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1901. // TclEventType: WAVEFORM_MOVE_CURSOR
  1902. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1903. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1904. // TclEventType: WAVEFORM_MOVE_CURSOR
  1905. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 105 MB. Current time: 5/18/22, 8:24:23 PM CEST
  1906. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1907. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1908. // TclEventType: WAVEFORM_MOVE_CURSOR
  1909. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1910. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1911. // TclEventType: WAVEFORM_MOVE_CURSOR
  1912. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1913. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1914. // TclEventType: WAVEFORM_MOVE_CURSOR
  1915. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1916. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1917. // TclEventType: WAVEFORM_MOVE_CURSOR
  1918. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1919. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1920. // TclEventType: WAVEFORM_MOVE_CURSOR
  1921. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1922. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1923. // TclEventType: WAVEFORM_MOVE_CURSOR
  1924. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1925. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1926. // TclEventType: WAVEFORM_MOVE_CURSOR
  1927. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1928. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1929. // TclEventType: WAVEFORM_MOVE_CURSOR
  1930. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1931. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1932. // TclEventType: WAVEFORM_MOVE_CURSOR
  1933. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1934. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1935. // TclEventType: WAVEFORM_MOVE_CURSOR
  1936. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 105 MB. Current time: 5/18/22, 8:24:23 PM CEST
  1937. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1938. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1939. // TclEventType: WAVEFORM_MOVE_CURSOR
  1940. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1941. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1942. // TclEventType: WAVEFORM_MOVE_CURSOR
  1943. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1944. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1945. // TclEventType: WAVEFORM_MOVE_CURSOR
  1946. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1947. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1948. // TclEventType: WAVEFORM_MOVE_CURSOR
  1949. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1950. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1951. // TclEventType: WAVEFORM_MOVE_CURSOR
  1952. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1953. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1954. // TclEventType: WAVEFORM_MOVE_CURSOR
  1955. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1956. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1957. // TclEventType: WAVEFORM_MOVE_CURSOR
  1958. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1959. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1960. // TclEventType: WAVEFORM_MOVE_CURSOR
  1961. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1962. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1963. // TclEventType: WAVEFORM_MOVE_CURSOR
  1964. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1965. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1966. // TclEventType: WAVEFORM_MOVE_CURSOR
  1967. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 115 MB. Current time: 5/18/22, 8:24:24 PM CEST
  1968. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1969. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1970. // TclEventType: WAVEFORM_MOVE_CURSOR
  1971. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1972. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1973. // TclEventType: WAVEFORM_MOVE_CURSOR
  1974. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1975. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1976. // TclEventType: WAVEFORM_MOVE_CURSOR
  1977. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1978. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1979. // TclEventType: WAVEFORM_MOVE_CURSOR
  1980. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 105 MB. Current time: 5/18/22, 8:24:24 PM CEST
  1981. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1982. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1983. // TclEventType: WAVEFORM_MOVE_CURSOR
  1984. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1985. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1986. // TclEventType: WAVEFORM_MOVE_CURSOR
  1987. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1988. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1989. // TclEventType: WAVEFORM_MOVE_CURSOR
  1990. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1991. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1992. // TclEventType: WAVEFORM_MOVE_CURSOR
  1993. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1994. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1995. // TclEventType: WAVEFORM_MOVE_CURSOR
  1996. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1997. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1998. // TclEventType: WAVEFORM_MOVE_CURSOR
  1999. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 105 MB. Current time: 5/18/22, 8:24:24 PM CEST
  2000. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2001. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2002. // TclEventType: WAVEFORM_MOVE_CURSOR
  2003. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2004. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2005. // TclEventType: WAVEFORM_MOVE_CURSOR
  2006. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2007. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2008. // TclEventType: WAVEFORM_MOVE_CURSOR
  2009. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2010. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2011. // TclEventType: WAVEFORM_MOVE_CURSOR
  2012. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2013. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2014. // TclEventType: WAVEFORM_MOVE_CURSOR
  2015. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2016. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2017. // TclEventType: WAVEFORM_MOVE_CURSOR
  2018. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2019. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2020. // TclEventType: WAVEFORM_MOVE_CURSOR
  2021. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2022. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2023. // TclEventType: WAVEFORM_MOVE_CURSOR
  2024. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2025. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 105 MB. Current time: 5/18/22, 8:24:25 PM CEST
  2026. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2027. // TclEventType: WAVEFORM_MOVE_CURSOR
  2028. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2029. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2030. // TclEventType: WAVEFORM_MOVE_CURSOR
  2031. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2032. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2033. // TclEventType: WAVEFORM_MOVE_CURSOR
  2034. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2035. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2036. // TclEventType: WAVEFORM_MOVE_CURSOR
  2037. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2038. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2039. // TclEventType: WAVEFORM_MOVE_CURSOR
  2040. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2041. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2042. // TclEventType: WAVEFORM_MOVE_CURSOR
  2043. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 105 MB. Current time: 5/18/22, 8:24:25 PM CEST
  2044. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2045. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2046. // TclEventType: WAVEFORM_MOVE_CURSOR
  2047. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2048. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2049. // TclEventType: WAVEFORM_MOVE_CURSOR
  2050. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2051. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2052. // TclEventType: WAVEFORM_MOVE_CURSOR
  2053. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2054. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2055. // TclEventType: WAVEFORM_MOVE_CURSOR
  2056. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2057. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2058. // TclEventType: WAVEFORM_MOVE_CURSOR
  2059. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2060. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2061. // TclEventType: WAVEFORM_MOVE_CURSOR
  2062. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2063. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2064. // TclEventType: WAVEFORM_MOVE_CURSOR
  2065. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2066. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2067. // TclEventType: WAVEFORM_MOVE_CURSOR
  2068. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2069. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2070. // TclEventType: WAVEFORM_MOVE_CURSOR
  2071. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2072. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2073. // TclEventType: WAVEFORM_MOVE_CURSOR
  2074. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2075. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2076. // TclEventType: WAVEFORM_MOVE_CURSOR
  2077. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2078. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2079. // TclEventType: WAVEFORM_MOVE_CURSOR
  2080. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 105 MB. Current time: 5/18/22, 8:24:25 PM CEST
  2081. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2082. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2083. // TclEventType: WAVEFORM_MOVE_CURSOR
  2084. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2085. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2086. // TclEventType: WAVEFORM_MOVE_CURSOR
  2087. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2088. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2089. // TclEventType: WAVEFORM_MOVE_CURSOR
  2090. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2091. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2092. // TclEventType: WAVEFORM_MOVE_CURSOR
  2093. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2094. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2095. // TclEventType: WAVEFORM_MOVE_CURSOR
  2096. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2097. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2098. // TclEventType: WAVEFORM_MOVE_CURSOR
  2099. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2100. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2101. // TclEventType: WAVEFORM_MOVE_CURSOR
  2102. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2103. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2104. // TclEventType: WAVEFORM_MOVE_CURSOR
  2105. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 105 MB. Current time: 5/18/22, 8:24:26 PM CEST
  2106. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2107. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2108. // TclEventType: WAVEFORM_MOVE_CURSOR
  2109. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2110. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2111. // TclEventType: WAVEFORM_MOVE_CURSOR
  2112. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2113. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2114. // TclEventType: WAVEFORM_MOVE_CURSOR
  2115. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2116. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2117. // TclEventType: WAVEFORM_MOVE_CURSOR
  2118. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2119. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2120. // TclEventType: WAVEFORM_MOVE_CURSOR
  2121. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2122. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2123. // TclEventType: WAVEFORM_MOVE_CURSOR
  2124. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2125. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2126. // TclEventType: WAVEFORM_MOVE_CURSOR
  2127. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2128. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2129. // TclEventType: WAVEFORM_MOVE_CURSOR
  2130. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2131. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2132. // TclEventType: WAVEFORM_MOVE_CURSOR
  2133. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2134. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2135. // TclEventType: WAVEFORM_MOVE_CURSOR
  2136. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:26 PM CEST
  2137. selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // D
  2138. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2139. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2140. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2141. // TclEventType: WAVEFORM_MOVE_CURSOR
  2142. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2143. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2144. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2145. // TclEventType: WAVEFORM_MOVE_CURSOR
  2146. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:31 PM CEST
  2147. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2148. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2149. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2150. // TclEventType: WAVEFORM_MOVE_CURSOR
  2151. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2152. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2153. // TclEventType: WAVEFORM_MOVE_CURSOR
  2154. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2155. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2156. // TclEventType: WAVEFORM_MOVE_CURSOR
  2157. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:31 PM CEST
  2158. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2159. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2160. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2161. // TclEventType: WAVEFORM_MOVE_CURSOR
  2162. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2163. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2164. // TclEventType: WAVEFORM_MOVE_CURSOR
  2165. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2166. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2167. // TclEventType: WAVEFORM_MOVE_CURSOR
  2168. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:32 PM CEST
  2169. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2170. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2171. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2172. // TclEventType: WAVEFORM_MOVE_CURSOR
  2173. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2174. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2175. // TclEventType: WAVEFORM_MOVE_CURSOR
  2176. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2177. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2178. // TclEventType: WAVEFORM_MOVE_CURSOR
  2179. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:32 PM CEST
  2180. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2181. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2182. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2183. // TclEventType: WAVEFORM_MOVE_CURSOR
  2184. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2185. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2186. // TclEventType: WAVEFORM_MOVE_CURSOR
  2187. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2188. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2189. // TclEventType: WAVEFORM_MOVE_CURSOR
  2190. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:33 PM CEST
  2191. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2192. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2193. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2194. // TclEventType: WAVEFORM_MOVE_CURSOR
  2195. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2196. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2197. // TclEventType: WAVEFORM_MOVE_CURSOR
  2198. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2199. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2200. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2201. // TclEventType: WAVEFORM_MOVE_CURSOR
  2202. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:33 PM CEST
  2203. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2204. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2205. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2206. // TclEventType: WAVEFORM_MOVE_CURSOR
  2207. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2208. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2209. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2210. // TclEventType: WAVEFORM_MOVE_CURSOR
  2211. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2212. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2213. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2214. // TclEventType: WAVEFORM_MOVE_CURSOR
  2215. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:34 PM CEST
  2216. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2217. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2218. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2219. // TclEventType: WAVEFORM_MOVE_CURSOR
  2220. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2221. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2222. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2223. // TclEventType: WAVEFORM_MOVE_CURSOR
  2224. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2225. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2226. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2227. // TclEventType: WAVEFORM_MOVE_CURSOR
  2228. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:35 PM CEST
  2229. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2230. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2231. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2232. // TclEventType: WAVEFORM_MOVE_CURSOR
  2233. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2234. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2235. // TclEventType: WAVEFORM_MOVE_CURSOR
  2236. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2237. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2238. // TclEventType: WAVEFORM_MOVE_CURSOR
  2239. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:35 PM CEST
  2240. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2241. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2242. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2243. // TclEventType: WAVEFORM_MOVE_CURSOR
  2244. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2245. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2246. // TclEventType: WAVEFORM_MOVE_CURSOR
  2247. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2248. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2249. // TclEventType: WAVEFORM_MOVE_CURSOR
  2250. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:36 PM CEST
  2251. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2252. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2253. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2254. // TclEventType: WAVEFORM_MOVE_CURSOR
  2255. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2256. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2257. // TclEventType: WAVEFORM_MOVE_CURSOR
  2258. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2259. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2260. // TclEventType: WAVEFORM_MOVE_CURSOR
  2261. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:36 PM CEST
  2262. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2263. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2264. // TclEventType: WAVEFORM_MOVE_CURSOR
  2265. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2266. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2267. // TclEventType: WAVEFORM_MOVE_CURSOR
  2268. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2269. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2270. // TclEventType: WAVEFORM_MOVE_CURSOR
  2271. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2272. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2273. // TclEventType: WAVEFORM_MOVE_CURSOR
  2274. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2275. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2276. // TclEventType: WAVEFORM_MOVE_CURSOR
  2277. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2278. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2279. // TclEventType: WAVEFORM_MOVE_CURSOR
  2280. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:37 PM CEST
  2281. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2282. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2283. // TclEventType: WAVEFORM_MOVE_CURSOR
  2284. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2285. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2286. // TclEventType: WAVEFORM_MOVE_CURSOR
  2287. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2288. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2289. // TclEventType: WAVEFORM_MOVE_CURSOR
  2290. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2291. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2292. // TclEventType: WAVEFORM_MOVE_CURSOR
  2293. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2294. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2295. // TclEventType: WAVEFORM_MOVE_CURSOR
  2296. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2297. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2298. // TclEventType: WAVEFORM_MOVE_CURSOR
  2299. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2300. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2301. // TclEventType: WAVEFORM_MOVE_CURSOR
  2302. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2303. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2304. // TclEventType: WAVEFORM_MOVE_CURSOR
  2305. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2306. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2307. // TclEventType: WAVEFORM_MOVE_CURSOR
  2308. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2309. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2310. // TclEventType: WAVEFORM_MOVE_CURSOR
  2311. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:38 PM CEST
  2312. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2313. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2314. // TclEventType: WAVEFORM_MOVE_CURSOR
  2315. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2316. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2317. // TclEventType: WAVEFORM_MOVE_CURSOR
  2318. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2319. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2320. // TclEventType: WAVEFORM_MOVE_CURSOR
  2321. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2322. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2323. // TclEventType: WAVEFORM_MOVE_CURSOR
  2324. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2325. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2326. // TclEventType: WAVEFORM_MOVE_CURSOR
  2327. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2328. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2329. // TclEventType: WAVEFORM_MOVE_CURSOR
  2330. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2331. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2332. // TclEventType: WAVEFORM_MOVE_CURSOR
  2333. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2334. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2335. // TclEventType: WAVEFORM_MOVE_CURSOR
  2336. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2337. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2338. // TclEventType: WAVEFORM_MOVE_CURSOR
  2339. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2340. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2341. // TclEventType: WAVEFORM_MOVE_CURSOR
  2342. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:38 PM CEST
  2343. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2344. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2345. // TclEventType: WAVEFORM_MOVE_CURSOR
  2346. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2347. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2348. // TclEventType: WAVEFORM_MOVE_CURSOR
  2349. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2350. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2351. // TclEventType: WAVEFORM_MOVE_CURSOR
  2352. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2353. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2354. // TclEventType: WAVEFORM_MOVE_CURSOR
  2355. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2356. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2357. // TclEventType: WAVEFORM_MOVE_CURSOR
  2358. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2359. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2360. // TclEventType: WAVEFORM_MOVE_CURSOR
  2361. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2362. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2363. // TclEventType: WAVEFORM_MOVE_CURSOR
  2364. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2365. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2366. // TclEventType: WAVEFORM_MOVE_CURSOR
  2367. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2368. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2369. // TclEventType: WAVEFORM_MOVE_CURSOR
  2370. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2371. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2372. // TclEventType: WAVEFORM_MOVE_CURSOR
  2373. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2374. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2375. // TclEventType: WAVEFORM_MOVE_CURSOR
  2376. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2377. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:38 PM CEST
  2378. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2379. // TclEventType: WAVEFORM_MOVE_CURSOR
  2380. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2381. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2382. // TclEventType: WAVEFORM_MOVE_CURSOR
  2383. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2384. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2385. // TclEventType: WAVEFORM_MOVE_CURSOR
  2386. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2387. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2388. // TclEventType: WAVEFORM_MOVE_CURSOR
  2389. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2390. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2391. // TclEventType: WAVEFORM_MOVE_CURSOR
  2392. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2393. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2394. // TclEventType: WAVEFORM_MOVE_CURSOR
  2395. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2396. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2397. // TclEventType: WAVEFORM_MOVE_CURSOR
  2398. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2399. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2400. // TclEventType: WAVEFORM_MOVE_CURSOR
  2401. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2402. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2403. // TclEventType: WAVEFORM_MOVE_CURSOR
  2404. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2405. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2406. // TclEventType: WAVEFORM_MOVE_CURSOR
  2407. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2408. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2409. // TclEventType: WAVEFORM_MOVE_CURSOR
  2410. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2411. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:39 PM CEST
  2412. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2413. // TclEventType: WAVEFORM_MOVE_CURSOR
  2414. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2415. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2416. // TclEventType: WAVEFORM_MOVE_CURSOR
  2417. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2418. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2419. // TclEventType: WAVEFORM_MOVE_CURSOR
  2420. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2421. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2422. // TclEventType: WAVEFORM_MOVE_CURSOR
  2423. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2424. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2425. // TclEventType: WAVEFORM_MOVE_CURSOR
  2426. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2427. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2428. // TclEventType: WAVEFORM_MOVE_CURSOR
  2429. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2430. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2431. // TclEventType: WAVEFORM_MOVE_CURSOR
  2432. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2433. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2434. // TclEventType: WAVEFORM_MOVE_CURSOR
  2435. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2436. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2437. // TclEventType: WAVEFORM_MOVE_CURSOR
  2438. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2439. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2440. // TclEventType: WAVEFORM_MOVE_CURSOR
  2441. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 108 MB. Current time: 5/18/22, 8:24:39 PM CEST
  2442. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2443. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2444. // TclEventType: WAVEFORM_MOVE_CURSOR
  2445. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2446. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2447. // TclEventType: WAVEFORM_MOVE_CURSOR
  2448. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2449. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2450. // TclEventType: WAVEFORM_MOVE_CURSOR
  2451. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2452. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2453. // TclEventType: WAVEFORM_MOVE_CURSOR
  2454. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2455. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2456. // TclEventType: WAVEFORM_MOVE_CURSOR
  2457. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2458. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2459. // TclEventType: WAVEFORM_MOVE_CURSOR
  2460. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2461. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2462. // TclEventType: WAVEFORM_MOVE_CURSOR
  2463. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2464. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2465. // TclEventType: WAVEFORM_MOVE_CURSOR
  2466. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:39 PM CEST
  2467. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2468. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2469. // TclEventType: WAVEFORM_MOVE_CURSOR
  2470. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2471. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2472. // TclEventType: WAVEFORM_MOVE_CURSOR
  2473. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2474. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2475. // TclEventType: WAVEFORM_MOVE_CURSOR
  2476. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2477. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2478. // TclEventType: WAVEFORM_MOVE_CURSOR
  2479. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2480. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2481. // TclEventType: WAVEFORM_MOVE_CURSOR
  2482. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2483. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2484. // TclEventType: WAVEFORM_MOVE_CURSOR
  2485. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2486. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2487. // TclEventType: WAVEFORM_MOVE_CURSOR
  2488. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2489. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:39 PM CEST
  2490. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2491. // TclEventType: WAVEFORM_MOVE_CURSOR
  2492. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2493. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2494. // TclEventType: WAVEFORM_MOVE_CURSOR
  2495. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2496. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2497. // TclEventType: WAVEFORM_MOVE_CURSOR
  2498. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2499. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2500. // TclEventType: WAVEFORM_MOVE_CURSOR
  2501. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2502. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2503. // TclEventType: WAVEFORM_MOVE_CURSOR
  2504. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2505. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2506. // TclEventType: WAVEFORM_MOVE_CURSOR
  2507. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2508. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2509. // TclEventType: WAVEFORM_MOVE_CURSOR
  2510. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2511. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2512. // TclEventType: WAVEFORM_MOVE_CURSOR
  2513. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2514. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2515. // TclEventType: WAVEFORM_MOVE_CURSOR
  2516. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:39 PM CEST
  2517. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2518. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2519. // TclEventType: WAVEFORM_MOVE_CURSOR
  2520. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2521. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2522. // TclEventType: WAVEFORM_MOVE_CURSOR
  2523. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2524. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2525. // TclEventType: WAVEFORM_MOVE_CURSOR
  2526. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2527. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2528. // TclEventType: WAVEFORM_MOVE_CURSOR
  2529. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2530. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2531. // TclEventType: WAVEFORM_MOVE_CURSOR
  2532. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2533. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2534. // TclEventType: WAVEFORM_MOVE_CURSOR
  2535. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2536. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2537. // TclEventType: WAVEFORM_MOVE_CURSOR
  2538. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2539. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2540. // TclEventType: WAVEFORM_MOVE_CURSOR
  2541. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2542. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2543. // TclEventType: WAVEFORM_MOVE_CURSOR
  2544. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2545. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2546. // TclEventType: WAVEFORM_MOVE_CURSOR
  2547. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2548. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2549. // TclEventType: WAVEFORM_MOVE_CURSOR
  2550. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2551. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2552. // TclEventType: WAVEFORM_MOVE_CURSOR
  2553. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:40 PM CEST
  2554. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2555. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2556. // TclEventType: WAVEFORM_MOVE_CURSOR
  2557. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2558. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2559. // TclEventType: WAVEFORM_MOVE_CURSOR
  2560. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2561. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2562. // TclEventType: WAVEFORM_MOVE_CURSOR
  2563. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2564. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2565. // TclEventType: WAVEFORM_MOVE_CURSOR
  2566. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2567. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2568. // TclEventType: WAVEFORM_MOVE_CURSOR
  2569. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2570. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2571. // TclEventType: WAVEFORM_MOVE_CURSOR
  2572. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2573. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2574. // TclEventType: WAVEFORM_MOVE_CURSOR
  2575. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2576. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2577. // TclEventType: WAVEFORM_MOVE_CURSOR
  2578. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2579. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2580. // TclEventType: WAVEFORM_MOVE_CURSOR
  2581. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2582. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2583. // TclEventType: WAVEFORM_MOVE_CURSOR
  2584. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2585. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2586. // TclEventType: WAVEFORM_MOVE_CURSOR
  2587. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2588. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2589. // TclEventType: WAVEFORM_MOVE_CURSOR
  2590. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2591. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2592. // TclEventType: WAVEFORM_MOVE_CURSOR
  2593. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2594. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2595. // TclEventType: WAVEFORM_MOVE_CURSOR
  2596. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:41 PM CEST
  2597. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2598. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2599. // TclEventType: WAVEFORM_MOVE_CURSOR
  2600. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2601. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2602. // TclEventType: WAVEFORM_MOVE_CURSOR
  2603. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2604. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2605. // TclEventType: WAVEFORM_MOVE_CURSOR
  2606. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2607. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2608. // TclEventType: WAVEFORM_MOVE_CURSOR
  2609. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2610. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2611. // TclEventType: WAVEFORM_MOVE_CURSOR
  2612. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2613. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2614. // TclEventType: WAVEFORM_MOVE_CURSOR
  2615. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:41 PM CEST
  2616. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2617. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2618. // TclEventType: WAVEFORM_MOVE_CURSOR
  2619. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2620. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2621. // TclEventType: WAVEFORM_MOVE_CURSOR
  2622. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2623. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2624. // TclEventType: WAVEFORM_MOVE_CURSOR
  2625. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2626. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2627. // TclEventType: WAVEFORM_MOVE_CURSOR
  2628. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2629. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2630. // TclEventType: WAVEFORM_MOVE_CURSOR
  2631. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2632. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2633. // TclEventType: WAVEFORM_MOVE_CURSOR
  2634. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2635. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2636. // TclEventType: WAVEFORM_MOVE_CURSOR
  2637. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2638. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2639. // TclEventType: WAVEFORM_MOVE_CURSOR
  2640. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2641. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2642. // TclEventType: WAVEFORM_MOVE_CURSOR
  2643. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2644. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2645. // TclEventType: WAVEFORM_MOVE_CURSOR
  2646. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:42 PM CEST
  2647. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2648. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2649. // TclEventType: WAVEFORM_MOVE_CURSOR
  2650. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2651. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2652. // TclEventType: WAVEFORM_MOVE_CURSOR
  2653. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2654. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2655. // TclEventType: WAVEFORM_MOVE_CURSOR
  2656. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2657. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2658. // TclEventType: WAVEFORM_MOVE_CURSOR
  2659. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2660. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2661. // TclEventType: WAVEFORM_MOVE_CURSOR
  2662. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2663. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2664. // TclEventType: WAVEFORM_MOVE_CURSOR
  2665. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:42 PM CEST
  2666. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2667. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2668. // TclEventType: WAVEFORM_MOVE_CURSOR
  2669. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2670. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2671. // TclEventType: WAVEFORM_MOVE_CURSOR
  2672. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2673. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2674. // TclEventType: WAVEFORM_MOVE_CURSOR
  2675. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2676. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2677. // TclEventType: WAVEFORM_MOVE_CURSOR
  2678. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2679. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2680. // TclEventType: WAVEFORM_MOVE_CURSOR
  2681. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2682. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2683. // TclEventType: WAVEFORM_MOVE_CURSOR
  2684. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 104 MB. Current time: 5/18/22, 8:24:42 PM CEST
  2685. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2686. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2687. // TclEventType: WAVEFORM_MOVE_CURSOR
  2688. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2689. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2690. // TclEventType: WAVEFORM_MOVE_CURSOR
  2691. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2692. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2693. // TclEventType: WAVEFORM_MOVE_CURSOR
  2694. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2695. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2696. // TclEventType: WAVEFORM_MOVE_CURSOR
  2697. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2698. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2699. // TclEventType: WAVEFORM_MOVE_CURSOR
  2700. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2701. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2702. // TclEventType: WAVEFORM_MOVE_CURSOR
  2703. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 105 MB. Current time: 5/18/22, 8:24:43 PM CEST
  2704. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  2705. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 3); // m
  2706. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2707. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  2708. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  2709. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  2710. selectCodeEditor("pwm_test.vhd", 161, 283); // be
  2711. selectCodeEditor("pwm_test.vhd", 161, 283, false, false, false, false, true); // be - Double Click
  2712. selectCodeEditor("pwm_test.vhd", 210, 337); // be
  2713. // Elapsed time: 14 seconds
  2714. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  2715. selectCodeEditor("pt1.vhd", 164, 258); // be
  2716. // Elapsed time: 40 seconds
  2717. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 3); // m
  2718. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 22, 181); // b
  2719. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  2720. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2721. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  2722. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2723. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2724. // TclEventType: WAVEFORM_MOVE_CURSOR
  2725. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2726. selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // D
  2727. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  2728. selectCodeEditor("pwm_test_db.vhd", 89, 345); // be
  2729. selectCodeEditor("pwm_test_db.vhd", 302, 243); // be
  2730. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // n
  2731. selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ao
  2732. // Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
  2733. selectButton("OptionPane.button", "Yes"); // JButton
  2734. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  2735. // TclEventType: DG_GRAPH_STALE
  2736. // WARNING: HEventQueue.dispatchEvent() is taking 4576 ms.
  2737. // TclEventType: DG_GRAPH_STALE
  2738. // TclEventType: FILE_SET_CHANGE
  2739. // TclEventType: WAVEFORM_CLOSE_WCFG
  2740. // TclEventType: FILE_SET_CHANGE
  2741. dismissDialog("Save Simulation Sources"); // c
  2742. // TclEventType: WAVEFORM_CLOSE_WCFG
  2743. // TclEventType: SIMULATION_CLOSE_SIMULATION
  2744. // Tcl Message: close_sim
  2745. // Tcl Message: INFO: [Simtcl 6-16] Simulation closed
  2746. dismissDialog("Close"); // bA
  2747. // TclEventType: LAUNCH_SIM
  2748. // TclEventType: FILE_SET_OPTIONS_CHANGE
  2749. // Tcl Message: launch_simulation
  2750. // Tcl Message: Command: launch_simulation
  2751. // Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  2752. // Tcl Message: "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  2753. // TclEventType: LAUNCH_SIM_LOG
  2754. // Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  2755. // Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  2756. // Tcl Message: "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  2757. // TclEventType: LAUNCH_SIM
  2758. // Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim
  2759. // Tcl Message: with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  2760. // Tcl Message: INFO: [USF-XSim-8] Loading simulator feature
  2761. // TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
  2762. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  2763. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  2764. // TclEventType: SIMULATION_UPDATE_STACKS
  2765. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  2766. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  2767. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  2768. // TclEventType: SIMULATION_UPDATE_STACKS
  2769. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  2770. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  2771. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  2772. // TclEventType: SIMULATION_UPDATE_STACKS
  2773. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  2774. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  2775. // Tcl Message: Time resolution is 1 ps
  2776. closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
  2777. // TclEventType: WAVEFORM_UPDATE_TITLE
  2778. // TclEventType: WAVEFORM_OPEN_WCFG
  2779. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  2780. // TclEventType: SIMULATION_UPDATE_STACKS
  2781. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  2782. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  2783. // TclEventType: WAVEFORM_OPEN_WCFG
  2784. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2785. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  2786. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  2787. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2788. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  2789. // Tcl Message: open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  2790. // Tcl Message: source pwm_test_db.tcl
  2791. // Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 5 s
  2792. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  2793. // TclEventType: WAVEFORM_MODEL_EVENT
  2794. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2795. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  2796. // TclEventType: SIMULATION_OBJECT_TREE_RESTORED
  2797. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 109 MB. Current time: 5/18/22, 8:26:35 PM CEST
  2798. // TclEventType: WAVEFORM_MODEL_EVENT
  2799. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2800. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  2801. // TclEventType: WAVEFORM_MODEL_EVENT
  2802. // TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
  2803. // TclEventType: SIMULATION_CURRENT_STACK_CHANGED
  2804. // TclEventType: SIMULATION_UPDATE_STACK_FRAMES
  2805. // TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
  2806. // TclEventType: SIMULATION_UPDATE_LOCALS
  2807. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  2808. // TclEventType: SIMULATION_UPDATE_STACKS
  2809. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  2810. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  2811. // Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  2812. // Tcl Message: launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:08 . Memory (MB): peak = 1257.480 ; gain = 0.000
  2813. // 'd' command handler elapsed time: 18 seconds
  2814. dismissDialog("Run Simulation"); // e
  2815. selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // D
  2816. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2817. // TclEventType: WAVEFORM_MODEL_EVENT
  2818. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2819. // TclEventType: WAVEFORM_MODEL_EVENT
  2820. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 118, 184); // b
  2821. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2822. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  2823. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2824. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  2825. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2826. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2827. // TclEventType: WAVEFORM_MOVE_CURSOR
  2828. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 232, 186); // b
  2829. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2830. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  2831. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2832. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  2833. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2834. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2835. // TclEventType: WAVEFORM_MOVE_CURSOR
  2836. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 109 MB. Current time: 5/18/22, 8:26:40 PM CEST
  2837. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2838. selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // D
  2839. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 451, 197); // b
  2840. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  2841. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2842. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  2843. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2844. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2845. // TclEventType: WAVEFORM_MOVE_CURSOR
  2846. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 8, 185); // b
  2847. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2848. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  2849. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2850. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  2851. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2852. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2853. // TclEventType: WAVEFORM_MOVE_CURSOR
  2854. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2855. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2856. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2857. // TclEventType: WAVEFORM_MOVE_CURSOR
  2858. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 109 MB. Current time: 5/18/22, 8:26:46 PM CEST
  2859. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2860. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2861. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2862. // TclEventType: WAVEFORM_MOVE_CURSOR
  2863. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2864. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2865. // TclEventType: WAVEFORM_MOVE_CURSOR
  2866. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2867. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2868. // TclEventType: WAVEFORM_MOVE_CURSOR
  2869. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 109 MB. Current time: 5/18/22, 8:26:47 PM CEST
  2870. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2871. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2872. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2873. // TclEventType: WAVEFORM_MOVE_CURSOR
  2874. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2875. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2876. // TclEventType: WAVEFORM_MOVE_CURSOR
  2877. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2878. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2879. // TclEventType: WAVEFORM_MOVE_CURSOR
  2880. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 109 MB. Current time: 5/18/22, 8:26:47 PM CEST
  2881. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2882. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2883. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2884. // TclEventType: WAVEFORM_MOVE_CURSOR
  2885. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2886. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2887. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2888. // TclEventType: WAVEFORM_MOVE_CURSOR
  2889. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2890. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2891. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2892. // TclEventType: WAVEFORM_MOVE_CURSOR
  2893. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 109 MB. Current time: 5/18/22, 8:26:49 PM CEST
  2894. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2895. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2896. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2897. // TclEventType: WAVEFORM_MOVE_CURSOR
  2898. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2899. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2900. // TclEventType: WAVEFORM_MOVE_CURSOR
  2901. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2902. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2903. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2904. // TclEventType: WAVEFORM_MOVE_CURSOR
  2905. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 109 MB. Current time: 5/18/22, 8:26:50 PM CEST
  2906. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  2907. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2908. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2909. // TclEventType: WAVEFORM_MOVE_CURSOR
  2910. selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // D
  2911. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2912. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2913. // TclEventType: WAVEFORM_MOVE_CURSOR
  2914. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2915. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2916. // TclEventType: WAVEFORM_MOVE_CURSOR
  2917. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 109 MB. Current time: 5/18/22, 8:26:52 PM CEST
  2918. selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // D
  2919. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2920. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2921. // TclEventType: WAVEFORM_MOVE_CURSOR
  2922. selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // D
  2923. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2924. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2925. // TclEventType: WAVEFORM_MOVE_CURSOR
  2926. selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // D
  2927. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2928. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2929. // TclEventType: WAVEFORM_MOVE_CURSOR
  2930. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 109 MB. Current time: 5/18/22, 8:26:52 PM CEST
  2931. selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // D
  2932. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2933. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2934. // TclEventType: WAVEFORM_MOVE_CURSOR
  2935. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 211, 238); // b
  2936. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2937. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  2938. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2939. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  2940. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2941. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2942. // TclEventType: WAVEFORM_MOVE_CURSOR
  2943. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 141, 203); // b
  2944. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2945. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  2946. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2947. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  2948. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2949. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2950. // TclEventType: WAVEFORM_MOVE_CURSOR
  2951. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 108 MB. Current time: 5/18/22, 8:26:56 PM CEST
  2952. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 33, 188); // b
  2953. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2954. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  2955. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2956. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  2957. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2958. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2959. // TclEventType: WAVEFORM_MOVE_CURSOR
  2960. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 130, 200); // b
  2961. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2962. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  2963. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2964. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  2965. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2966. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2967. // TclEventType: WAVEFORM_MOVE_CURSOR
  2968. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 141, 199); // b
  2969. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2970. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  2971. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2972. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  2973. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2974. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2975. // TclEventType: WAVEFORM_MOVE_CURSOR
  2976. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 108 MB. Current time: 5/18/22, 8:27:00 PM CEST
  2977. // Elapsed time: 46 seconds
  2978. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 216, 202); // b
  2979. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2980. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 228, 203); // b
  2981. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  2982. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2983. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  2984. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2985. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2986. // TclEventType: WAVEFORM_MOVE_CURSOR
  2987. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 109 MB. Current time: 5/18/22, 8:27:47 PM CEST
  2988. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  2989. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 3); // m
  2990. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 280, 198); // b
  2991. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2992. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  2993. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2994. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  2995. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  2996. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  2997. // TclEventType: WAVEFORM_MOVE_CURSOR
  2998. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 171, 198); // b
  2999. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3000. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3001. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3002. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3003. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3004. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3005. // TclEventType: WAVEFORM_MOVE_CURSOR
  3006. // Elapsed time: 31 seconds
  3007. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  3008. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  3009. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  3010. // Elapsed time: 30 seconds
  3011. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 3); // m
  3012. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 125, 145); // b
  3013. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3014. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3015. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3016. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3017. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3018. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3019. // TclEventType: WAVEFORM_MOVE_CURSOR
  3020. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 109 MB. Current time: 5/18/22, 8:29:04 PM CEST
  3021. // Elapsed time: 28 seconds
  3022. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 179, 223); // b
  3023. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3024. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3025. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3026. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3027. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3028. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3029. // TclEventType: WAVEFORM_MOVE_CURSOR
  3030. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 177, 197); // b
  3031. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3032. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3033. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3034. // TclEventType: WAVEFORM_MOVE_CURSOR
  3035. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3036. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 109 MB. Current time: 5/18/22, 8:29:34 PM CEST
  3037. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  3038. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  3039. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  3040. selectCodeEditor("pwm_test.vhd", 165, 124); // be
  3041. selectCodeEditor("pwm_test.vhd", 165, 124, false, false, false, false, true); // be - Double Click
  3042. selectCodeEditor("pwm_test.vhd", 239, 175); // be
  3043. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  3044. selectCodeEditor("pt1.vhd", 218, 200); // be
  3045. selectCodeEditor("pt1.vhd", 218, 200, false, false, false, false, true); // be - Double Click
  3046. selectCodeEditor("pt1.vhd", 234, 263); // be
  3047. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  3048. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 3); // m
  3049. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 196, 148); // b
  3050. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3051. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3052. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3053. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3054. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3055. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3056. // TclEventType: WAVEFORM_MOVE_CURSOR
  3057. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 44, 148); // b
  3058. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3059. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3060. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3061. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3062. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3063. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3064. // TclEventType: WAVEFORM_MOVE_CURSOR
  3065. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 2, 105); // b
  3066. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3067. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3068. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3069. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3070. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3071. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3072. // TclEventType: WAVEFORM_MOVE_CURSOR
  3073. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 108 MB. Current time: 5/18/22, 8:29:59 PM CEST
  3074. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  3075. selectCodeEditor("pwm_test.vhd", 173, 158); // be
  3076. selectCodeEditor("pwm_test.vhd", 507, 282); // be
  3077. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 14, false); // n
  3078. // Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
  3079. selectButton(PAResourceQtoS.SaveProjectUtils_SAVE, "Save"); // a
  3080. // TclEventType: DG_GRAPH_STALE
  3081. // WARNING: HEventQueue.dispatchEvent() is taking 6260 ms.
  3082. dismissDialog("Save Project"); // al
  3083. // TclEventType: DG_GRAPH_STALE
  3084. // TclEventType: RUN_MODIFY
  3085. // TclEventType: DG_GRAPH_STALE
  3086. // TclEventType: FILE_SET_CHANGE
  3087. // TclEventType: RUN_MODIFY
  3088. // TclEventType: RUN_RESET
  3089. // TclEventType: FILE_SET_CHANGE
  3090. // TclEventType: RUN_RESET
  3091. // WARNING: HSwingWorker (Refresh Filesets Swing Worker) is taking 5964 ms. Increasing delay to 17892 ms.
  3092. // TclEventType: RUN_RESET
  3093. // TclEventType: RUN_STATUS_CHANGE
  3094. // TclEventType: RUN_RESET
  3095. // TclEventType: RUN_MODIFY
  3096. // Tcl Message: reset_run synth_1
  3097. // Tcl Message: INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  3098. // TclEventType: FILE_SET_CHANGE
  3099. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  3100. dismissDialog("Launch Runs"); // f
  3101. // Tcl Message: launch_runs synth_1 -jobs 6
  3102. // TclEventType: RUN_LAUNCH
  3103. // TclEventType: RUN_MODIFY
  3104. // Tcl Message: [Wed May 18 20:30:29 2022] Launched synth_1... Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  3105. // 'k' command handler elapsed time: 10 seconds
  3106. dismissDialog("Starting Design Runs"); // bA
  3107. // TclEventType: RUN_STATUS_CHANGE
  3108. // WARNING: HSwingWorker (Refresh Filesets Swing Worker) is taking 7 ms. Decreasing delay to 2007 ms.
  3109. // TclEventType: RUN_COMPLETED
  3110. // TclEventType: RUN_STATUS_CHANGE
  3111. // TclEventType: RUN_STEP_COMPLETED
  3112. // Elapsed time: 56 seconds
  3113. selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a
  3114. dismissDialog("Synthesis Completed"); // ag
  3115. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // n
  3116. selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ao
  3117. // Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
  3118. selectButton("OptionPane.button", "Yes"); // JButton
  3119. // TclEventType: WAVEFORM_CLOSE_WCFG
  3120. // TclEventType: SIMULATION_CLOSE_SIMULATION
  3121. // Tcl Message: close_sim
  3122. // Tcl Message: INFO: [Simtcl 6-16] Simulation closed
  3123. dismissDialog("Close"); // bA
  3124. // TclEventType: LAUNCH_SIM
  3125. // TclEventType: FILE_SET_OPTIONS_CHANGE
  3126. // Tcl Message: launch_simulation
  3127. // Tcl Message: Command: launch_simulation
  3128. // Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  3129. // Tcl Message: "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  3130. // TclEventType: LAUNCH_SIM_LOG
  3131. // Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'regler'
  3132. // Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  3133. // Tcl Message: "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  3134. // TclEventType: LAUNCH_SIM
  3135. // Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim
  3136. // Tcl Message: with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  3137. // Tcl Message: INFO: [USF-XSim-8] Loading simulator feature
  3138. // TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
  3139. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  3140. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  3141. // TclEventType: SIMULATION_UPDATE_STACKS
  3142. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  3143. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  3144. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  3145. // TclEventType: SIMULATION_UPDATE_STACKS
  3146. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  3147. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  3148. // Tcl Message: Time resolution is 1 ps
  3149. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  3150. // TclEventType: SIMULATION_UPDATE_STACKS
  3151. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  3152. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  3153. closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
  3154. // TclEventType: WAVEFORM_UPDATE_TITLE
  3155. // TclEventType: WAVEFORM_OPEN_WCFG
  3156. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  3157. // TclEventType: SIMULATION_UPDATE_STACKS
  3158. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  3159. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  3160. // TclEventType: WAVEFORM_OPEN_WCFG
  3161. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3162. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  3163. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3164. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  3165. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3166. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  3167. // Tcl Message: open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  3168. // Tcl Message: source pwm_test_db.tcl
  3169. // Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 5 s
  3170. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  3171. // TclEventType: WAVEFORM_MODEL_EVENT
  3172. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3173. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  3174. // TclEventType: SIMULATION_OBJECT_TREE_RESTORED
  3175. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 114 MB. Current time: 5/18/22, 8:31:39 PM CEST
  3176. // TclEventType: WAVEFORM_MODEL_EVENT
  3177. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3178. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  3179. // TclEventType: WAVEFORM_MODEL_EVENT
  3180. // TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
  3181. // TclEventType: SIMULATION_CURRENT_STACK_CHANGED
  3182. // TclEventType: SIMULATION_UPDATE_STACK_FRAMES
  3183. // TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
  3184. // TclEventType: SIMULATION_UPDATE_LOCALS
  3185. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  3186. // TclEventType: SIMULATION_UPDATE_STACKS
  3187. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  3188. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  3189. // Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  3190. // Tcl Message: launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:09 . Memory (MB): peak = 1295.145 ; gain = 20.746
  3191. // 'd' command handler elapsed time: 10 seconds
  3192. dismissDialog("Run Simulation"); // e
  3193. // TclEventType: WAVEFORM_MODEL_EVENT
  3194. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3195. // TclEventType: WAVEFORM_MODEL_EVENT
  3196. selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // D
  3197. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3198. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 39, 99); // b
  3199. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3200. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3201. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3202. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3203. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3204. // TclEventType: WAVEFORM_MOVE_CURSOR
  3205. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  3206. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3207. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3208. // TclEventType: WAVEFORM_MOVE_CURSOR
  3209. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 114 MB. Current time: 5/18/22, 8:31:46 PM CEST
  3210. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  3211. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3212. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3213. // TclEventType: WAVEFORM_MOVE_CURSOR
  3214. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3215. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3216. // TclEventType: WAVEFORM_MOVE_CURSOR
  3217. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3218. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3219. // TclEventType: WAVEFORM_MOVE_CURSOR
  3220. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 114 MB. Current time: 5/18/22, 8:31:47 PM CEST
  3221. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  3222. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3223. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3224. // TclEventType: WAVEFORM_MOVE_CURSOR
  3225. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3226. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3227. // TclEventType: WAVEFORM_MOVE_CURSOR
  3228. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3229. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3230. // TclEventType: WAVEFORM_MOVE_CURSOR
  3231. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 114 MB. Current time: 5/18/22, 8:31:48 PM CEST
  3232. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  3233. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3234. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3235. // TclEventType: WAVEFORM_MOVE_CURSOR
  3236. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  3237. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3238. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3239. // TclEventType: WAVEFORM_MOVE_CURSOR
  3240. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3241. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3242. // TclEventType: WAVEFORM_MOVE_CURSOR
  3243. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 114 MB. Current time: 5/18/22, 8:31:48 PM CEST
  3244. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  3245. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3246. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3247. // TclEventType: WAVEFORM_MOVE_CURSOR
  3248. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3249. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 114 MB. Current time: 5/18/22, 8:31:49 PM CEST
  3250. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3251. selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // D
  3252. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3253. // TclEventType: WAVEFORM_MOVE_CURSOR
  3254. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 114 MB. Current time: 5/18/22, 8:31:51 PM CEST
  3255. selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // D
  3256. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3257. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3258. // TclEventType: WAVEFORM_MOVE_CURSOR
  3259. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 292, 181); // b
  3260. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3261. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 114 MB. Current time: 5/18/22, 8:31:53 PM CEST
  3262. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 421, 167); // b
  3263. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3264. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 442, 132); // b
  3265. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 118 MB. Current time: 5/18/22, 8:31:54 PM CEST
  3266. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3267. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 431, 121); // b
  3268. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3269. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3270. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3271. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3272. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3273. // TclEventType: WAVEFORM_MOVE_CURSOR
  3274. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 39, 273); // b
  3275. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3276. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3277. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3278. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3279. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3280. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3281. // TclEventType: WAVEFORM_MOVE_CURSOR
  3282. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 113 MB. Current time: 5/18/22, 8:31:59 PM CEST
  3283. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 89, 273); // b
  3284. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3285. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3286. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3287. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3288. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3289. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3290. // TclEventType: WAVEFORM_MOVE_CURSOR
  3291. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 120, 273); // b
  3292. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3293. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 113 MB. Current time: 5/18/22, 8:32:01 PM CEST
  3294. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 116, 57); // b
  3295. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3296. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3297. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3298. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3299. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3300. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3301. // TclEventType: WAVEFORM_MOVE_CURSOR
  3302. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 112, 64); // b
  3303. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3304. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3305. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3306. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3307. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3308. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3309. // TclEventType: WAVEFORM_MOVE_CURSOR
  3310. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 529, 115); // b
  3311. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3312. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3313. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3314. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3315. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3316. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3317. // TclEventType: WAVEFORM_MOVE_CURSOR
  3318. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 113 MB. Current time: 5/18/22, 8:32:05 PM CEST
  3319. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 544, 114); // b
  3320. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3321. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 634, 112); // b
  3322. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3323. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3324. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3325. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3326. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3327. // TclEventType: WAVEFORM_MOVE_CURSOR
  3328. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 113 MB. Current time: 5/18/22, 8:32:07 PM CEST
  3329. // Elapsed time: 12 seconds
  3330. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 576, 115); // b
  3331. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3332. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3333. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3334. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3335. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3336. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3337. // TclEventType: WAVEFORM_MOVE_CURSOR
  3338. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 653, 123); // b
  3339. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3340. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3341. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3342. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3343. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3344. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3345. // TclEventType: WAVEFORM_MOVE_CURSOR
  3346. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 734, 128); // b
  3347. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3348. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3349. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3350. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3351. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3352. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3353. // TclEventType: WAVEFORM_MOVE_CURSOR
  3354. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 114 MB. Current time: 5/18/22, 8:32:21 PM CEST
  3355. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  3356. selectCodeEditor("pwm_test_db.vhd", 77, 251); // be
  3357. selectCodeEditor("pwm_test_db.vhd", 228, 234); // be
  3358. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // n
  3359. selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ao
  3360. // Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
  3361. selectButton("OptionPane.button", "Yes"); // JButton
  3362. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  3363. // TclEventType: DG_GRAPH_STALE
  3364. // WARNING: HEventQueue.dispatchEvent() is taking 6354 ms.
  3365. // TclEventType: DG_GRAPH_STALE
  3366. // TclEventType: FILE_SET_CHANGE
  3367. // TclEventType: WAVEFORM_CLOSE_WCFG
  3368. // TclEventType: FILE_SET_CHANGE
  3369. dismissDialog("Save Simulation Sources"); // c
  3370. // TclEventType: WAVEFORM_CLOSE_WCFG
  3371. // TclEventType: SIMULATION_CLOSE_SIMULATION
  3372. // WARNING: HSwingWorker (Refresh Filesets Swing Worker) is taking 5988 ms. Increasing delay to 17964 ms.
  3373. // TclEventType: SIMULATION_CLOSE_SIMULATION
  3374. // Tcl Message: close_sim
  3375. // Tcl Message: INFO: [Simtcl 6-16] Simulation closed
  3376. dismissDialog("Close"); // bA
  3377. // TclEventType: LAUNCH_SIM
  3378. // TclEventType: FILE_SET_OPTIONS_CHANGE
  3379. // Tcl Message: launch_simulation
  3380. // Tcl Message: Command: launch_simulation
  3381. // Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  3382. // Tcl Message: "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  3383. // TclEventType: LAUNCH_SIM_LOG
  3384. // Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  3385. // Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  3386. // Tcl Message: "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  3387. // TclEventType: LAUNCH_SIM
  3388. // Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim
  3389. // Tcl Message: with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  3390. // Tcl Message: INFO: [USF-XSim-8] Loading simulator feature
  3391. // TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
  3392. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  3393. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  3394. // TclEventType: SIMULATION_UPDATE_STACKS
  3395. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  3396. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  3397. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  3398. // TclEventType: SIMULATION_UPDATE_STACKS
  3399. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  3400. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  3401. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  3402. // TclEventType: SIMULATION_UPDATE_STACKS
  3403. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  3404. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  3405. closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
  3406. // Tcl Message: Time resolution is 1 ps
  3407. // TclEventType: WAVEFORM_UPDATE_TITLE
  3408. // TclEventType: WAVEFORM_OPEN_WCFG
  3409. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  3410. // TclEventType: SIMULATION_UPDATE_STACKS
  3411. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  3412. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  3413. // TclEventType: WAVEFORM_OPEN_WCFG
  3414. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3415. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  3416. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3417. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  3418. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3419. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  3420. // Tcl Message: open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  3421. // Tcl Message: source pwm_test_db.tcl
  3422. // Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 5 s
  3423. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  3424. // TclEventType: WAVEFORM_MODEL_EVENT
  3425. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3426. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  3427. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 118 MB. Current time: 5/18/22, 8:32:48 PM CEST
  3428. // TclEventType: WAVEFORM_MODEL_EVENT
  3429. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3430. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  3431. // TclEventType: SIMULATION_OBJECT_TREE_RESTORED
  3432. // TclEventType: WAVEFORM_MODEL_EVENT
  3433. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3434. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  3435. // TclEventType: WAVEFORM_MODEL_EVENT
  3436. // TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
  3437. // TclEventType: SIMULATION_CURRENT_STACK_CHANGED
  3438. // TclEventType: SIMULATION_UPDATE_STACK_FRAMES
  3439. // TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
  3440. // TclEventType: SIMULATION_UPDATE_LOCALS
  3441. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  3442. // TclEventType: SIMULATION_UPDATE_STACKS
  3443. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  3444. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  3445. // Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  3446. // Tcl Message: launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:08 . Memory (MB): peak = 1295.742 ; gain = 0.598
  3447. // 'd' command handler elapsed time: 19 seconds
  3448. dismissDialog("Run Simulation"); // e
  3449. // TclEventType: WAVEFORM_MODEL_EVENT
  3450. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3451. // TclEventType: WAVEFORM_MODEL_EVENT
  3452. selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // D
  3453. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3454. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 160, 188); // b
  3455. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3456. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3457. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3458. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3459. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3460. // TclEventType: WAVEFORM_MOVE_CURSOR
  3461. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 944, 165); // b
  3462. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3463. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3464. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3465. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3466. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3467. // WARNING: HSwingWorker (Refresh Filesets Swing Worker) is taking 7 ms. Decreasing delay to 2007 ms.
  3468. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3469. // TclEventType: WAVEFORM_MOVE_CURSOR
  3470. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 118 MB. Current time: 5/18/22, 8:32:56 PM CEST
  3471. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 941, 174); // b
  3472. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3473. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3474. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3475. // TclEventType: WAVEFORM_MOVE_CURSOR
  3476. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3477. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 244, 227); // b
  3478. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3479. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3480. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3481. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3482. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3483. // TclEventType: WAVEFORM_MOVE_CURSOR
  3484. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 117 MB. Current time: 5/18/22, 8:33:02 PM CEST
  3485. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 7, 200); // b
  3486. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3487. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3488. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3489. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3490. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3491. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3492. // TclEventType: WAVEFORM_MOVE_CURSOR
  3493. selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // D
  3494. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3495. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 9, 101); // b
  3496. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3497. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3498. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3499. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3500. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3501. // TclEventType: WAVEFORM_MOVE_CURSOR
  3502. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 118 MB. Current time: 5/18/22, 8:33:05 PM CEST
  3503. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  3504. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3505. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3506. // TclEventType: WAVEFORM_MOVE_CURSOR
  3507. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  3508. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3509. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3510. // TclEventType: WAVEFORM_MOVE_CURSOR
  3511. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  3512. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3513. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3514. // TclEventType: WAVEFORM_MOVE_CURSOR
  3515. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 118 MB. Current time: 5/18/22, 8:33:07 PM CEST
  3516. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  3517. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3518. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3519. // TclEventType: WAVEFORM_MOVE_CURSOR
  3520. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3521. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3522. // TclEventType: WAVEFORM_MOVE_CURSOR
  3523. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3524. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3525. // TclEventType: WAVEFORM_MOVE_CURSOR
  3526. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 117 MB. Current time: 5/18/22, 8:33:08 PM CEST
  3527. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  3528. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3529. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3530. // TclEventType: WAVEFORM_MOVE_CURSOR
  3531. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3532. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 118 MB. Current time: 5/18/22, 8:33:10 PM CEST
  3533. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3534. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  3535. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3536. // TclEventType: WAVEFORM_MOVE_CURSOR
  3537. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3538. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3539. // TclEventType: WAVEFORM_MOVE_CURSOR
  3540. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 118 MB. Current time: 5/18/22, 8:33:12 PM CEST
  3541. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  3542. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3543. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3544. // TclEventType: WAVEFORM_MOVE_CURSOR
  3545. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3546. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 132 MB. Current time: 5/18/22, 8:33:13 PM CEST
  3547. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3548. selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // D
  3549. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3550. // TclEventType: WAVEFORM_MOVE_CURSOR
  3551. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 118 MB. Current time: 5/18/22, 8:33:16 PM CEST
  3552. selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // D
  3553. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3554. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3555. // TclEventType: WAVEFORM_MOVE_CURSOR
  3556. selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // D
  3557. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3558. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3559. // TclEventType: WAVEFORM_MOVE_CURSOR
  3560. selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // D
  3561. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3562. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3563. // TclEventType: WAVEFORM_MOVE_CURSOR
  3564. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 118 MB. Current time: 5/18/22, 8:33:17 PM CEST
  3565. selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // D
  3566. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3567. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3568. // TclEventType: WAVEFORM_MOVE_CURSOR
  3569. selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // D
  3570. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3571. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3572. // TclEventType: WAVEFORM_MOVE_CURSOR
  3573. selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // D
  3574. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3575. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3576. // TclEventType: WAVEFORM_MOVE_CURSOR
  3577. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 118 MB. Current time: 5/18/22, 8:33:20 PM CEST
  3578. selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // D
  3579. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3580. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3581. // TclEventType: WAVEFORM_MOVE_CURSOR
  3582. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3583. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3584. // TclEventType: WAVEFORM_MOVE_CURSOR
  3585. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3586. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3587. // TclEventType: WAVEFORM_MOVE_CURSOR
  3588. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 118 MB. Current time: 5/18/22, 8:33:20 PM CEST
  3589. selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // D
  3590. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3591. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3592. // TclEventType: WAVEFORM_MOVE_CURSOR
  3593. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3594. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3595. // TclEventType: WAVEFORM_MOVE_CURSOR
  3596. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3597. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3598. // TclEventType: WAVEFORM_MOVE_CURSOR
  3599. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 118 MB. Current time: 5/18/22, 8:33:21 PM CEST
  3600. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 303, 18); // b
  3601. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3602. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 57, 112); // b
  3603. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3604. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3605. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3606. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3607. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3608. // TclEventType: WAVEFORM_MOVE_CURSOR
  3609. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false, false, false, false, true, false); // n - Popup Trigger
  3610. selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_SETTINGS, "Simulation Settings..."); // ao
  3611. // Run Command: PAResourceCommand.PACommandNames_SIMULATION_SETTINGS
  3612. // Tcl Command: 'rdi::info_commands {device::*}'
  3613. // Tcl Command: 'rdi::info_commands {debug::*}'
  3614. // Tcl Command: 'rdi::info_commands {*}'
  3615. // WARNING: HEventQueue.dispatchEvent() is taking 2138 ms.
  3616. selectTab(PAResourceOtoP.ProjectSettingsSimulationPanel_TABBED_PANE, PAResourceOtoP.ProjectSettingsSimulationPanel_ADVANCED, "Advanced", 4); // i
  3617. selectTab(PAResourceOtoP.ProjectSettingsSimulationPanel_TABBED_PANE, PAResourceOtoP.ProjectSettingsSimulationPanel_NETLIST, "Netlist", 3); // i
  3618. selectTab(PAResourceOtoP.ProjectSettingsSimulationPanel_TABBED_PANE, PAResourceOtoP.ProjectSettingsSimulationPanel_SIMULATION, "Simulation", 2); // i
  3619. selectButton(RDIResource.BaseDialog_APPLY, "Apply"); // a
  3620. // TclEventType: FILE_SET_OPTIONS_CHANGE
  3621. // Tcl Message: set_property -name {xsim.simulate.runtime} -value {10 s} -objects [get_filesets sim_1]
  3622. // [GUI Memory]: 199 MB (+24049kb) [01:03:50]
  3623. selectTab(PAResourceOtoP.ProjectSettingsSimulationPanel_TABBED_PANE, PAResourceOtoP.ProjectSettingsSimulationPanel_ELABORATION, "Elaboration", 1); // i
  3624. selectTab(PAResourceOtoP.ProjectSettingsSimulationPanel_TABBED_PANE, PAResourceOtoP.ProjectSettingsSimulationPanel_COMPILATION, "Compilation", 0); // i
  3625. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  3626. dismissDialog("Settings"); // d
  3627. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 333, 417); // b
  3628. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3629. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3630. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3631. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3632. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3633. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3634. // TclEventType: WAVEFORM_MOVE_CURSOR
  3635. selectButton(RDIResourceCommand.RDICommands_WAVEFORM_SAVE_CONFIGURATION, "Waveform Viewer_waveform_save_configuration"); // D
  3636. // Run Command: RDIResourceCommand.RDICommands_WAVEFORM_SAVE_CONFIGURATION
  3637. // TclEventType: WAVEFORM_UPDATE_TITLE
  3638. // Tcl Message: save_wave_config {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg}
  3639. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // n
  3640. // TclEventType: FILE_SET_CHANGE
  3641. selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ao
  3642. // Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
  3643. selectButton("OptionPane.button", "Yes"); // JButton
  3644. // TclEventType: WAVEFORM_CLOSE_WCFG
  3645. // TclEventType: SIMULATION_CLOSE_SIMULATION
  3646. // Tcl Message: close_sim
  3647. // Tcl Message: INFO: [Simtcl 6-16] Simulation closed
  3648. dismissDialog("Close"); // bA
  3649. // TclEventType: LAUNCH_SIM
  3650. // TclEventType: FILE_SET_OPTIONS_CHANGE
  3651. // Tcl Message: launch_simulation
  3652. // Tcl Message: Command: launch_simulation
  3653. // Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  3654. // Tcl Message: "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  3655. // TclEventType: LAUNCH_SIM_LOG
  3656. // Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  3657. // Tcl Message: "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  3658. // TclEventType: LAUNCH_SIM
  3659. // Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim
  3660. // Tcl Message: with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  3661. // Tcl Message: INFO: [USF-XSim-8] Loading simulator feature
  3662. // TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
  3663. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  3664. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  3665. // TclEventType: SIMULATION_UPDATE_STACKS
  3666. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  3667. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  3668. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  3669. // TclEventType: SIMULATION_UPDATE_STACKS
  3670. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  3671. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  3672. // Tcl Message: Time resolution is 1 ps
  3673. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  3674. // TclEventType: SIMULATION_UPDATE_STACKS
  3675. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  3676. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  3677. closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
  3678. // TclEventType: WAVEFORM_UPDATE_TITLE
  3679. // TclEventType: WAVEFORM_OPEN_WCFG
  3680. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  3681. // TclEventType: SIMULATION_UPDATE_STACKS
  3682. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  3683. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  3684. // TclEventType: WAVEFORM_OPEN_WCFG
  3685. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3686. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  3687. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3688. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  3689. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3690. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  3691. // Tcl Message: open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  3692. // Tcl Message: source pwm_test_db.tcl
  3693. // Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 10 s
  3694. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  3695. // TclEventType: WAVEFORM_MODEL_EVENT
  3696. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3697. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3698. // TclEventType: WAVEFORM_MOVE_CURSOR
  3699. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  3700. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 138 MB. Current time: 5/18/22, 8:34:03 PM CEST
  3701. // TclEventType: WAVEFORM_MODEL_EVENT
  3702. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3703. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3704. // TclEventType: WAVEFORM_MOVE_CURSOR
  3705. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  3706. // TclEventType: WAVEFORM_MODEL_EVENT
  3707. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3708. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3709. // TclEventType: WAVEFORM_MOVE_CURSOR
  3710. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  3711. // TclEventType: SIMULATION_OBJECT_TREE_RESTORED
  3712. // TclEventType: WAVEFORM_MODEL_EVENT
  3713. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3714. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  3715. // TclEventType: WAVEFORM_MODEL_EVENT
  3716. // TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
  3717. // TclEventType: SIMULATION_CURRENT_STACK_CHANGED
  3718. // TclEventType: SIMULATION_UPDATE_STACK_FRAMES
  3719. // TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
  3720. // TclEventType: SIMULATION_UPDATE_LOCALS
  3721. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  3722. // TclEventType: SIMULATION_UPDATE_STACKS
  3723. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  3724. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  3725. // Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 10 s
  3726. // Tcl Message: launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:10 . Memory (MB): peak = 1320.121 ; gain = 0.000
  3727. // 'd' command handler elapsed time: 12 seconds
  3728. dismissDialog("Run Simulation"); // e
  3729. // TclEventType: WAVEFORM_MODEL_EVENT
  3730. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3731. // TclEventType: WAVEFORM_MODEL_EVENT
  3732. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 53, 140); // b
  3733. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3734. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3735. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3736. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3737. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3738. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3739. // TclEventType: WAVEFORM_MOVE_CURSOR
  3740. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 138 MB. Current time: 5/18/22, 8:34:07 PM CEST
  3741. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 90, 95); // b
  3742. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3743. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3744. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3745. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3746. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3747. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3748. // TclEventType: WAVEFORM_MOVE_CURSOR
  3749. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 6, 105); // b
  3750. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3751. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3752. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3753. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3754. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3755. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3756. // TclEventType: WAVEFORM_MOVE_CURSOR
  3757. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  3758. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3759. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3760. // TclEventType: WAVEFORM_MOVE_CURSOR
  3761. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 138 MB. Current time: 5/18/22, 8:34:14 PM CEST
  3762. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  3763. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3764. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3765. // TclEventType: WAVEFORM_MOVE_CURSOR
  3766. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3767. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3768. // TclEventType: WAVEFORM_MOVE_CURSOR
  3769. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3770. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3771. // TclEventType: WAVEFORM_MOVE_CURSOR
  3772. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 138 MB. Current time: 5/18/22, 8:34:15 PM CEST
  3773. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  3774. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3775. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3776. // TclEventType: WAVEFORM_MOVE_CURSOR
  3777. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  3778. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3779. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3780. // TclEventType: WAVEFORM_MOVE_CURSOR
  3781. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3782. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3783. // TclEventType: WAVEFORM_MOVE_CURSOR
  3784. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 138 MB. Current time: 5/18/22, 8:34:15 PM CEST
  3785. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3786. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 138 MB. Current time: 5/18/22, 8:34:16 PM CEST
  3787. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3788. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 20, 107); // b
  3789. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3790. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3791. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3792. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3793. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3794. // TclEventType: WAVEFORM_MOVE_CURSOR
  3795. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 138 MB. Current time: 5/18/22, 8:34:18 PM CEST
  3796. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 7, 112); // b
  3797. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3798. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3799. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3800. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  3801. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3802. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3803. // TclEventType: WAVEFORM_MOVE_CURSOR
  3804. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  3805. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3806. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3807. // TclEventType: WAVEFORM_MOVE_CURSOR
  3808. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3809. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3810. // TclEventType: WAVEFORM_MOVE_CURSOR
  3811. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 138 MB. Current time: 5/18/22, 8:34:21 PM CEST
  3812. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  3813. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3814. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3815. // TclEventType: WAVEFORM_MOVE_CURSOR
  3816. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3817. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3818. // TclEventType: WAVEFORM_MOVE_CURSOR
  3819. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  3820. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  3821. // TclEventType: WAVEFORM_MOVE_CURSOR
  3822. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 138 MB. Current time: 5/18/22, 8:34:21 PM CEST
  3823. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  3824. selectCodeEditor("pwm_test_db.vhd", 154, 214); // be
  3825. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  3826. selectCodeEditor("pt1.vhd", 224, 213); // be
  3827. selectCodeEditor("pt1.vhd", 224, 213, false, false, false, false, true); // be - Double Click
  3828. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  3829. selectCodeEditor("pwm_test_db.vhd", 394, 316); // be
  3830. typeControlKey(null, null, 'z');
  3831. typeControlKey(null, null, 'z');
  3832. typeControlKey(null, null, 'z');
  3833. selectCodeEditor("pwm_test_db.vhd", 148, 208); // be
  3834. selectCodeEditor("pwm_test_db.vhd", 151, 211); // be
  3835. selectCodeEditor("pwm_test_db.vhd", 233, 208); // be
  3836. selectCodeEditor("pwm_test_db.vhd", 152, 210); // be
  3837. selectCodeEditor("pwm_test_db.vhd", 152, 210, false, false, false, false, true); // be - Double Click
  3838. selectCodeEditor("pwm_test_db.vhd", 386, 269); // be
  3839. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  3840. selectCodeEditor("pt1.vhd", 288, 304); // be
  3841. selectCodeEditor("pt1.vhd", 381, 195); // be
  3842. selectCodeEditor("pt1.vhd", 381, 195, false, false, false, false, true); // be - Double Click
  3843. selectCodeEditor("pt1.vhd", 381, 194); // be
  3844. selectCodeEditor("pt1.vhd", 559, 246); // be
  3845. selectCodeEditor("pt1.vhd", 233, 211); // be
  3846. selectCodeEditor("pt1.vhd", 237, 219); // be
  3847. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  3848. selectCodeEditor("pwm_test_db.vhd", 206, 240); // be
  3849. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  3850. selectCodeEditor("pwm_test.vhd", 162, 125); // be
  3851. selectCodeEditor("pwm_test.vhd", 162, 124, false, false, false, false, true); // be - Double Click
  3852. selectCodeEditor("pwm_test.vhd", 252, 300); // be
  3853. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  3854. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  3855. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  3856. selectCodeEditor("pt1.vhd", 190, 277); // be
  3857. // TclEventType: DG_GRAPH_STALE
  3858. // WARNING: HEventQueue.dispatchEvent() is taking 10828 ms.
  3859. // Thread: SyntheticaAnimation 50
  3860. // at java.base@11.0.11/java.lang.Thread.sleep(Native Method)
  3861. // at de.javasoft.plaf.synthetica.painter.AnimationThreadFactory$AnimationThread.run(AnimationThreadFactory.java:119)
  3862. // Thread: Attach Listener
  3863. // Thread: SyntheticaCleanerThread
  3864. // at java.base@11.0.11/java.lang.Thread.sleep(Native Method)
  3865. // at de.javasoft.plaf.synthetica.StyleFactory$ComponentPropertyStore$1.run(StyleFactory.java:1885)
  3866. // Thread: pool-2-thread-1
  3867. // at java.base@11.0.11/jdk.internal.misc.Unsafe.park(Native Method)
  3868. // at java.base@11.0.11/java.util.concurrent.locks.LockSupport.park(LockSupport.java:194)
  3869. // at java.base@11.0.11/java.util.concurrent.locks.AbstractQueuedSynchronizer$ConditionObject.await(AbstractQueuedSynchronizer.java:2081)
  3870. // at java.base@11.0.11/java.util.concurrent.LinkedBlockingQueue.take(LinkedBlockingQueue.java:433)
  3871. // at java.base@11.0.11/java.util.concurrent.ThreadPoolExecutor.getTask(ThreadPoolExecutor.java:1054)
  3872. // at java.base@11.0.11/java.util.concurrent.ThreadPoolExecutor.runWorker(ThreadPoolExecutor.java:1114)
  3873. // at java.base@11.0.11/java.util.concurrent.ThreadPoolExecutor$Worker.run(ThreadPoolExecutor.java:628)
  3874. // at java.base@11.0.11/java.lang.Thread.run(Thread.java:829)
  3875. // Thread: ForkJoinPool.commonPool-worker-1
  3876. // at java.base@11.0.11/jdk.internal.misc.Unsafe.park(Native Method)
  3877. // at java.base@11.0.11/java.util.concurrent.locks.LockSupport.parkUntil(LockSupport.java:275)
  3878. // at java.base@11.0.11/java.util.concurrent.ForkJoinPool.runWorker(ForkJoinPool.java:1619)
  3879. // at java.base@11.0.11/java.util.concurrent.ForkJoinWorkerThread.run(ForkJoinWorkerThread.java:183)
  3880. // Thread: Finalizer
  3881. // at java.base@11.0.11/java.lang.Object.wait(Native Method)
  3882. // at java.base@11.0.11/java.lang.ref.ReferenceQueue.remove(ReferenceQueue.java:155)
  3883. // at java.base@11.0.11/java.lang.ref.ReferenceQueue.remove(ReferenceQueue.java:176)
  3884. // at java.base@11.0.11/java.lang.ref.Finalizer$FinalizerThread.run(Finalizer.java:170)
  3885. // Thread: AWT-Windows
  3886. // at java.desktop@11.0.11/sun.awt.windows.WToolkit.eventLoop(Native Method)
  3887. // at java.desktop@11.0.11/sun.awt.windows.WToolkit.run(WToolkit.java:305)
  3888. // at java.base@11.0.11/java.lang.Thread.run(Thread.java:829)
  3889. // Thread: pool-4-thread-1
  3890. // at java.base@11.0.11/jdk.internal.misc.Unsafe.park(Native Method)
  3891. // at java.base@11.0.11/java.util.concurrent.locks.LockSupport.park(LockSupport.java:194)
  3892. // at java.base@11.0.11/java.util.concurrent.locks.AbstractQueuedSynchronizer$ConditionObject.await(AbstractQueuedSynchronizer.java:2081)
  3893. // at java.base@11.0.11/java.util.concurrent.LinkedBlockingQueue.take(LinkedBlockingQueue.java:433)
  3894. // at java.base@11.0.11/java.util.concurrent.ThreadPoolExecutor.getTask(ThreadPoolExecutor.java:1054)
  3895. // at java.base@11.0.11/java.util.concurrent.ThreadPoolExecutor.runWorker(ThreadPoolExecutor.java:1114)
  3896. // at java.base@11.0.11/java.util.concurrent.ThreadPoolExecutor$Worker.run(ThreadPoolExecutor.java:628)
  3897. // at java.base@11.0.11/java.lang.Thread.run(Thread.java:829)
  3898. // Thread: AWT-EventQueue-0
  3899. // at java.base@11.0.11/sun.nio.fs.WindowsNativeDispatcher.GetFileAttributesEx0(Native Method)
  3900. // at java.base@11.0.11/sun.nio.fs.WindowsNativeDispatcher.GetFileAttributesEx(WindowsNativeDispatcher.java:380)
  3901. // at java.base@11.0.11/sun.nio.fs.WindowsFileAttributes.get(WindowsFileAttributes.java:307)
  3902. // at java.base@11.0.11/sun.nio.fs.WindowsUriSupport.toUri(WindowsUriSupport.java:108)
  3903. // at java.base@11.0.11/sun.nio.fs.WindowsPath.toUri(WindowsPath.java:867)
  3904. // at ui.k.c.af$$Lambda$366/0x0000000800b8bc40.apply(Unknown Source)
  3905. // at java.base@11.0.11/java.util.stream.ReferencePipeline$3$1.accept(ReferencePipeline.java:195)
  3906. // at java.base@11.0.11/java.util.stream.ForEachOps$ForEachOp$OfRef.accept(ForEachOps.java:183)
  3907. // at java.base@11.0.11/java.util.stream.ReferencePipeline$2$1.accept(ReferencePipeline.java:177)
  3908. // at java.base@11.0.11/java.util.stream.ForEachOps$ForEachOp$OfRef.accept(ForEachOps.java:183)
  3909. // at java.base@11.0.11/java.util.stream.ReferencePipeline$3$1.accept(ReferencePipeline.java:195)
  3910. // at java.base@11.0.11/java.util.Iterator.forEachRemaining(Iterator.java:133)
  3911. // at java.base@11.0.11/java.util.Spliterators$IteratorSpliterator.forEachRemaining(Spliterators.java:1801)
  3912. // at java.base@11.0.11/java.util.stream.AbstractPipeline.copyInto(AbstractPipeline.java:484)
  3913. // at java.base@11.0.11/java.util.stream.AbstractPipeline.wrapAndCopyInto(AbstractPipeline.java:474)
  3914. // at java.base@11.0.11/java.util.stream.ForEachOps$ForEachOp.evaluateSequential(ForEachOps.java:150)
  3915. // at java.base@11.0.11/java.util.stream.ForEachOps$ForEachOp$OfRef.evaluateSequential(ForEachOps.java:173)
  3916. // at java.base@11.0.11/java.util.stream.AbstractPipeline.evaluate(AbstractPipeline.java:234)
  3917. // at java.base@11.0.11/java.util.stream.ReferencePipeline.forEach(ReferencePipeline.java:497)
  3918. // at java.base@11.0.11/java.util.stream.ReferencePipeline$7$1.accept(ReferencePipeline.java:274)
  3919. // at java.base@11.0.11/java.util.ArrayList$ArrayListSpliterator.forEachRemaining(ArrayList.java:1655)
  3920. // at java.base@11.0.11/java.util.stream.AbstractPipeline.copyInto(AbstractPipeline.java:484)
  3921. // at java.base@11.0.11/java.util.stream.AbstractPipeline.wrapAndCopyInto(AbstractPipeline.java:474)
  3922. // at java.base@11.0.11/java.util.stream.ForEachOps$ForEachOp.evaluateSequential(ForEachOps.java:150)
  3923. // at java.base@11.0.11/java.util.stream.ForEachOps$ForEachOp$OfRef.evaluateSequential(ForEachOps.java:173)
  3924. // at java.base@11.0.11/java.util.stream.AbstractPipeline.evaluate(AbstractPipeline.java:234)
  3925. // at java.base@11.0.11/java.util.stream.ReferencePipeline.forEach(ReferencePipeline.java:497)
  3926. // at java.base@11.0.11/java.util.stream.ReferencePipeline$7$1.accept(ReferencePipeline.java:274)
  3927. // at java.base@11.0.11/java.util.stream.ReferencePipeline$3$1.accept(ReferencePipeline.java:195)
  3928. // at java.base@11.0.11/java.util.AbstractList$RandomAccessSpliterator.forEachRemaining(AbstractList.java:720)
  3929. // at java.base@11.0.11/java.util.stream.AbstractPipeline.copyInto(AbstractPipeline.java:484)
  3930. // at java.base@11.0.11/java.util.stream.AbstractPipeline.wrapAndCopyInto(AbstractPipeline.java:474)
  3931. // at java.base@11.0.11/java.util.stream.ReduceOps$ReduceOp.evaluateSequential(ReduceOps.java:913)
  3932. // at java.base@11.0.11/java.util.stream.AbstractPipeline.evaluate(AbstractPipeline.java:234)
  3933. // at java.base@11.0.11/java.util.stream.ReferencePipeline.collect(ReferencePipeline.java:578)
  3934. // at ui.k.c.af.a(SourceFile:401)
  3935. // at ui.k.c.v.a(SourceFile:368)
  3936. // at ui.k.c.v.abv(SourceFile:342)
  3937. // at ui.k.c.v.yO(SourceFile:206)
  3938. // at ui.k.c.v.gJl(SourceFile:151)
  3939. // at ui.k.c.L.a(SourceFile:219)
  3940. // at ui.k.c.L$$Lambda$521/0x0000000800d14840.accept(Unknown Source)
  3941. // at java.base@11.0.11/java.util.concurrent.CompletableFuture.uniAcceptNow(CompletableFuture.java:753)
  3942. // at java.base@11.0.11/java.util.concurrent.CompletableFuture.uniAcceptStage(CompletableFuture.java:731)
  3943. // at java.base@11.0.11/java.util.concurrent.CompletableFuture.thenAccept(CompletableFuture.java:2108)
  3944. // at ui.k.c.L.a(SourceFile:214)
  3945. // at ui.k.c.L$$Lambda$520/0x0000000800d15440.accept(Unknown Source)
  3946. // at java.base@11.0.11/java.util.Optional.ifPresent(Optional.java:183)
  3947. // at ui.k.c.L.run(SourceFile:212)
  3948. // at ui.views.U.a.n.hv(SourceFile:1740)
  3949. // at ui.views.U.a.a.b(SourceFile:1784)
  3950. // at ui.views.U.a.a.a(SourceFile:1701)
  3951. // at ui.views.U.a.a.f(SourceFile:1697)
  3952. // at ui.k.c.E.fCL(SourceFile:268)
  3953. // at ui.views.U.a.aK.actionPerformed(SourceFile:548)
  3954. // at com.jidesoft.editor.action.InputHandler.executeAction(Unknown Source)
  3955. // at com.jidesoft.editor.action.InputHandler.keyPressed(Unknown Source)
  3956. // at com.jidesoft.editor.CodeEditor.processKeyEvent(Unknown Source)
  3957. // at ui.views.U.a.a.processKeyEvent(SourceFile:2840)
  3958. // at java.desktop@11.0.11/java.awt.Component.processEvent(Component.java:6412)
  3959. // at java.desktop@11.0.11/java.awt.Container.processEvent(Container.java:2263)
  3960. // at ui.views.U.a.a.processEvent(SourceFile:2846)
  3961. // at java.desktop@11.0.11/java.awt.Component.dispatchEventImpl(Component.java:5011)
  3962. // at java.desktop@11.0.11/java.awt.Container.dispatchEventImpl(Container.java:2321)
  3963. // at java.desktop@11.0.11/java.awt.Component.dispatchEvent(Component.java:4843)
  3964. // at java.desktop@11.0.11/java.awt.KeyboardFocusManager.redispatchEvent(KeyboardFocusManager.java:1950)
  3965. // at java.desktop@11.0.11/java.awt.DefaultKeyboardFocusManager.dispatchKeyEvent(DefaultKeyboardFocusManager.java:870)
  3966. // at java.desktop@11.0.11/java.awt.DefaultKeyboardFocusManager.preDispatchKeyEvent(DefaultKeyboardFocusManager.java:1139)
  3967. // at java.desktop@11.0.11/java.awt.DefaultKeyboardFocusManager.typeAheadAssertions(DefaultKeyboardFocusManager.java:1009)
  3968. // at java.desktop@11.0.11/java.awt.DefaultKeyboardFocusManager.dispatchEvent(DefaultKeyboardFocusManager.java:835)
  3969. // at java.desktop@11.0.11/java.awt.Component.dispatchEventImpl(Component.java:4892)
  3970. // at java.desktop@11.0.11/java.awt.Container.dispatchEventImpl(Container.java:2321)
  3971. // at java.desktop@11.0.11/java.awt.Window.dispatchEventImpl(Window.java:2772)
  3972. // at java.desktop@11.0.11/java.awt.Component.dispatchEvent(Component.java:4843)
  3973. // at java.desktop@11.0.11/java.awt.EventQueue.dispatchEventImpl(EventQueue.java:772)
  3974. // at java.desktop@11.0.11/java.awt.EventQueue$4.run(EventQueue.java:721)
  3975. // at java.desktop@11.0.11/java.awt.EventQueue$4.run(EventQueue.java:715)
  3976. // at java.base@11.0.11/java.security.AccessController.doPrivileged(Native Method)
  3977. // at java.base@11.0.11/java.security.ProtectionDomain$JavaSecurityAccessImpl.doIntersectionPrivilege(ProtectionDomain.java:85)
  3978. // at java.base@11.0.11/java.security.ProtectionDomain$JavaSecurityAccessImpl.doIntersectionPrivilege(ProtectionDomain.java:95)
  3979. // at java.desktop@11.0.11/java.awt.EventQueue$5.run(EventQueue.java:745)
  3980. // at java.desktop@11.0.11/java.awt.EventQueue$5.run(EventQueue.java:743)
  3981. // at java.base@11.0.11/java.security.AccessController.doPrivileged(Native Method)
  3982. // at java.base@11.0.11/java.security.ProtectionDomain$JavaSecurityAccessImpl.doIntersectionPrivilege(ProtectionDomain.java:85)
  3983. // at java.desktop@11.0.11/java.awt.EventQueue.dispatchEvent(EventQueue.java:742)
  3984. // at ui.frmwork.a.d.dispatchEvent(SourceFile:92)
  3985. // at java.desktop@11.0.11/java.awt.EventDispatchThread.pumpOneEventForFilters(EventDispatchThread.java:203)
  3986. // at java.desktop@11.0.11/java.awt.EventDispatchThread.pumpEventsForFilter(EventDispatchThread.java:124)
  3987. // at java.desktop@11.0.11/java.awt.EventDispatchThread.pumpEventsForHierarchy(EventDispatchThread.java:113)
  3988. // at java.desktop@11.0.11/java.awt.EventDispatchThread.pumpEvents(EventDispatchThread.java:109)
  3989. // at java.desktop@11.0.11/java.awt.EventDispatchThread.pumpEvents(EventDispatchThread.java:101)
  3990. // at java.desktop@11.0.11/java.awt.EventDispatchThread.run(EventDispatchThread.java:90)
  3991. // Thread: ForkJoinPool.commonPool-worker-25
  3992. // at java.base@11.0.11/jdk.internal.misc.Unsafe.park(Native Method)
  3993. // at java.base@11.0.11/java.util.concurrent.locks.LockSupport.park(LockSupport.java:194)
  3994. // at java.base@11.0.11/java.util.concurrent.ForkJoinPool.runWorker(ForkJoinPool.java:1628)
  3995. // at java.base@11.0.11/java.util.concurrent.ForkJoinWorkerThread.run(ForkJoinWorkerThread.java:183)
  3996. // Thread: TimerQueue
  3997. // at java.base@11.0.11/jdk.internal.misc.Unsafe.park(Native Method)
  3998. // at java.base@11.0.11/java.util.concurrent.locks.LockSupport.parkNanos(LockSupport.java:234)
  3999. // at java.base@11.0.11/java.util.concurrent.locks.AbstractQueuedSynchronizer$ConditionObject.awaitNanos(AbstractQueuedSynchronizer.java:2123)
  4000. // at java.base@11.0.11/java.util.concurrent.DelayQueue.take(DelayQueue.java:229)
  4001. // at java.desktop@11.0.11/javax.swing.TimerQueue.run(TimerQueue.java:171)
  4002. // at java.base@11.0.11/java.lang.Thread.run(Thread.java:829)
  4003. // Thread: pool-3-thread-1
  4004. // at java.base@11.0.11/jdk.internal.misc.Unsafe.park(Native Method)
  4005. // at java.base@11.0.11/java.util.concurrent.locks.LockSupport.park(LockSupport.java:194)
  4006. // at java.base@11.0.11/java.util.concurrent.locks.AbstractQueuedSynchronizer$ConditionObject.await(AbstractQueuedSynchronizer.java:2081)
  4007. // at java.base@11.0.11/java.util.concurrent.LinkedBlockingQueue.take(LinkedBlockingQueue.java:433)
  4008. // at java.base@11.0.11/java.util.concurrent.ThreadPoolExecutor.getTask(ThreadPoolExecutor.java:1054)
  4009. // at java.base@11.0.11/java.util.concurrent.ThreadPoolExecutor.runWorker(ThreadPoolExecutor.java:1114)
  4010. // at java.base@11.0.11/java.util.concurrent.ThreadPoolExecutor$Worker.run(ThreadPoolExecutor.java:628)
  4011. // at java.base@11.0.11/java.lang.Thread.run(Thread.java:829)
  4012. // Thread: OutputGobbler
  4013. // at java.base@11.0.11/java.io.FileInputStream.readBytes(Native Method)
  4014. // at java.base@11.0.11/java.io.FileInputStream.read(FileInputStream.java:279)
  4015. // at java.base@11.0.11/java.io.BufferedInputStream.read1(BufferedInputStream.java:290)
  4016. // at java.base@11.0.11/java.io.BufferedInputStream.read(BufferedInputStream.java:351)
  4017. // at java.base@11.0.11/sun.nio.cs.StreamDecoder.readBytes(StreamDecoder.java:284)
  4018. // at java.base@11.0.11/sun.nio.cs.StreamDecoder.implRead(StreamDecoder.java:326)
  4019. // at java.base@11.0.11/sun.nio.cs.StreamDecoder.read(StreamDecoder.java:178)
  4020. // at java.base@11.0.11/java.io.InputStreamReader.read(InputStreamReader.java:181)
  4021. // at java.base@11.0.11/java.io.BufferedReader.fill(BufferedReader.java:161)
  4022. // at java.base@11.0.11/java.io.BufferedReader.readLine(BufferedReader.java:326)
  4023. // at java.base@11.0.11/java.io.BufferedReader.readLine(BufferedReader.java:392)
  4024. // at java.base@11.0.11/java.io.BufferedReader$1.hasNext(BufferedReader.java:574)
  4025. // at java.base@11.0.11/java.util.Iterator.forEachRemaining(Iterator.java:132)
  4026. // at java.base@11.0.11/java.util.Spliterators$IteratorSpliterator.forEachRemaining(Spliterators.java:1801)
  4027. // at java.base@11.0.11/java.util.stream.ReferencePipeline$Head.forEach(ReferencePipeline.java:658)
  4028. // at com.sigasi.lcm.C.run(SourceFile:43)
  4029. // Thread: Common-Cleaner
  4030. // at java.base@11.0.11/java.lang.Object.wait(Native Method)
  4031. // at java.base@11.0.11/java.lang.ref.ReferenceQueue.remove(ReferenceQueue.java:155)
  4032. // at java.base@11.0.11/jdk.internal.ref.CleanerImpl.run(CleanerImpl.java:148)
  4033. // at java.base@11.0.11/java.lang.Thread.run(Thread.java:829)
  4034. // at java.base@11.0.11/jdk.internal.misc.InnocuousThread.run(InnocuousThread.java:134)
  4035. // Thread: Life support thread
  4036. // at java.base@11.0.11/java.lang.Thread.sleep(Native Method)
  4037. // at com.sigasi.lcm.l.run(SourceFile:82)
  4038. // at java.base@11.0.11/java.lang.Thread.run(Thread.java:829)
  4039. // Thread: ForkJoinPool.commonPool-worker-15
  4040. // at java.base@11.0.11/jdk.internal.misc.Unsafe.park(Native Method)
  4041. // at java.base@11.0.11/java.util.concurrent.locks.LockSupport.parkUntil(LockSupport.java:275)
  4042. // at java.base@11.0.11/java.util.concurrent.ForkJoinPool.runWorker(ForkJoinPool.java:1619)
  4043. // at java.base@11.0.11/java.util.concurrent.ForkJoinWorkerThread.run(ForkJoinWorkerThread.java:183)
  4044. // Thread: main
  4045. // at java.base@11.0.11/java.lang.Thread.sleep(Native Method)
  4046. // at ui.PlanAhead.c(SourceFile:1150)
  4047. // at ui.PlanAhead.jswMain(SourceFile:1197)
  4048. // Thread: pool-6-thread-1
  4049. // at java.base@11.0.11/jdk.internal.misc.Unsafe.park(Native Method)
  4050. // at java.base@11.0.11/java.util.concurrent.locks.LockSupport.parkNanos(LockSupport.java:234)
  4051. // at java.base@11.0.11/java.util.concurrent.locks.AbstractQueuedSynchronizer$ConditionObject.awaitNanos(AbstractQueuedSynchronizer.java:2123)
  4052. // at java.base@11.0.11/java.util.concurrent.ScheduledThreadPoolExecutor$DelayedWorkQueue.take(ScheduledThreadPoolExecutor.java:1182)
  4053. // at java.base@11.0.11/java.util.concurrent.ScheduledThreadPoolExecutor$DelayedWorkQueue.take(ScheduledThreadPoolExecutor.java:899)
  4054. // at java.base@11.0.11/java.util.concurrent.ThreadPoolExecutor.getTask(ThreadPoolExecutor.java:1054)
  4055. // at java.base@11.0.11/java.util.concurrent.ThreadPoolExecutor.runWorker(ThreadPoolExecutor.java:1114)
  4056. // at java.base@11.0.11/java.util.concurrent.ThreadPoolExecutor$Worker.run(ThreadPoolExecutor.java:628)
  4057. // at java.base@11.0.11/java.lang.Thread.run(Thread.java:829)
  4058. // Thread: ForkJoinPool.commonPool-worker-21
  4059. // at java.base@11.0.11/jdk.internal.misc.Unsafe.park(Native Method)
  4060. // at java.base@11.0.11/java.util.concurrent.locks.LockSupport.parkUntil(LockSupport.java:275)
  4061. // at java.base@11.0.11/java.util.concurrent.ForkJoinPool.runWorker(ForkJoinPool.java:1619)
  4062. // at java.base@11.0.11/java.util.concurrent.ForkJoinWorkerThread.run(ForkJoinWorkerThread.java:183)
  4063. // Thread: AWT-Shutdown
  4064. // at java.base@11.0.11/java.lang.Object.wait(Native Method)
  4065. // at java.base@11.0.11/java.lang.Object.wait(Object.java:328)
  4066. // at java.desktop@11.0.11/sun.awt.AWTAutoShutdown.run(AWTAutoShutdown.java:291)
  4067. // at java.base@11.0.11/java.lang.Thread.run(Thread.java:829)
  4068. // Thread: Monitor HEventQueue Thread
  4069. // at java.base@11.0.11/java.lang.Thread.dumpThreads(Native Method)
  4070. // at java.base@11.0.11/java.lang.Thread.getAllStackTraces(Thread.java:1653)
  4071. // at ui.utils.d.c.iPe(SourceFile:589)
  4072. // at ui.frmwork.a.e.aXx(SourceFile:144)
  4073. // at ui.frmwork.y.run(SourceFile:206)
  4074. // at java.base@11.0.11/java.lang.Thread.run(Thread.java:829)
  4075. // Thread: Refresh Filesets Swing Worker Thread
  4076. // at ui.data.design.p.cfd(SourceFile:2144)
  4077. // at ui.data.design.p.cfc(SourceFile:2139)
  4078. // at ui.data.design.z.aXx(SourceFile:2521)
  4079. // at ui.frmwork.y.run(SourceFile:206)
  4080. // at java.base@11.0.11/java.lang.Thread.run(Thread.java:829)
  4081. // Thread: Swing-Shell
  4082. // at java.base@11.0.11/jdk.internal.misc.Unsafe.park(Native Method)
  4083. // at java.base@11.0.11/java.util.concurrent.locks.LockSupport.park(LockSupport.java:194)
  4084. // at java.base@11.0.11/java.util.concurrent.locks.AbstractQueuedSynchronizer$ConditionObject.await(AbstractQueuedSynchronizer.java:2081)
  4085. // at java.base@11.0.11/java.util.concurrent.LinkedBlockingQueue.take(LinkedBlockingQueue.java:433)
  4086. // at java.base@11.0.11/java.util.concurrent.ThreadPoolExecutor.getTask(ThreadPoolExecutor.java:1054)
  4087. // at java.base@11.0.11/java.util.concurrent.ThreadPoolExecutor.runWorker(ThreadPoolExecutor.java:1114)
  4088. // at java.base@11.0.11/java.util.concurrent.ThreadPoolExecutor$Worker.run(ThreadPoolExecutor.java:628)
  4089. // at java.desktop@11.0.11/sun.awt.shell.Win32ShellFolderManager2$ComInvoker$1.run(Win32ShellFolderManager2.java:586)
  4090. // at java.base@11.0.11/java.lang.Thread.run(Thread.java:829)
  4091. // Thread: Batik CleanerThread
  4092. // at java.base@11.0.11/java.lang.Object.wait(Native Method)
  4093. // at java.base@11.0.11/java.lang.ref.ReferenceQueue.remove(ReferenceQueue.java:155)
  4094. // at java.base@11.0.11/java.lang.ref.ReferenceQueue.remove(ReferenceQueue.java:176)
  4095. // at org.apache.batik.util.CleanerThread.run(CleanerThread.java:106)
  4096. // Thread: Reference Handler
  4097. // at java.base@11.0.11/java.lang.ref.Reference.waitForReferencePendingList(Native Method)
  4098. // at java.base@11.0.11/java.lang.ref.Reference.processPendingReferences(Reference.java:241)
  4099. // at java.base@11.0.11/java.lang.ref.Reference$ReferenceHandler.run(Reference.java:213)
  4100. // Thread: ForkJoinPool.commonPool-worker-9
  4101. // at java.base@11.0.11/jdk.internal.misc.Unsafe.park(Native Method)
  4102. // at java.base@11.0.11/java.util.concurrent.locks.LockSupport.parkUntil(LockSupport.java:275)
  4103. // at java.base@11.0.11/java.util.concurrent.ForkJoinPool.runWorker(ForkJoinPool.java:1619)
  4104. // at java.base@11.0.11/java.util.concurrent.ForkJoinWorkerThread.run(ForkJoinWorkerThread.java:183)
  4105. // Thread: Update Runs Swing Worker Thread
  4106. // at java.base@11.0.11/java.lang.Thread.sleep(Native Method)
  4107. // at ui.data.experiment.F.aXx(SourceFile:373)
  4108. // at ui.frmwork.y.run(SourceFile:206)
  4109. // at java.base@11.0.11/java.lang.Thread.run(Thread.java:829)
  4110. // Thread: Thread-1
  4111. // Thread: ForkJoinPool.commonPool-worker-19
  4112. // at java.base@11.0.11/jdk.internal.misc.Unsafe.park(Native Method)
  4113. // at java.base@11.0.11/java.util.concurrent.locks.LockSupport.park(LockSupport.java:194)
  4114. // at java.base@11.0.11/java.util.concurrent.ForkJoinPool.runWorker(ForkJoinPool.java:1628)
  4115. // at java.base@11.0.11/java.util.concurrent.ForkJoinWorkerThread.run(ForkJoinWorkerThread.java:183)
  4116. // Thread: ForkJoinPool.commonPool-worker-29
  4117. // at java.base@11.0.11/jdk.internal.misc.Unsafe.park(Native Method)
  4118. // at java.base@11.0.11/java.util.concurrent.locks.LockSupport.park(LockSupport.java:194)
  4119. // at java.base@11.0.11/java.util.concurrent.ForkJoinPool.runWorker(ForkJoinPool.java:1628)
  4120. // at java.base@11.0.11/java.util.concurrent.ForkJoinWorkerThread.run(ForkJoinWorkerThread.java:183)
  4121. // Thread: Signal Dispatcher
  4122. // Thread: pool-7-thread-1
  4123. // at java.base@11.0.11/jdk.internal.misc.Unsafe.park(Native Method)
  4124. // at java.base@11.0.11/java.util.concurrent.locks.LockSupport.park(LockSupport.java:194)
  4125. // at java.base@11.0.11/java.util.concurrent.locks.AbstractQueuedSynchronizer$ConditionObject.await(AbstractQueuedSynchronizer.java:2081)
  4126. // at java.base@11.0.11/java.util.concurrent.LinkedBlockingQueue.take(LinkedBlockingQueue.java:433)
  4127. // at java.base@11.0.11/java.util.concurrent.ThreadPoolExecutor.getTask(ThreadPoolExecutor.java:1054)
  4128. // at java.base@11.0.11/java.util.concurrent.ThreadPoolExecutor.runWorker(ThreadPoolExecutor.java:1114)
  4129. // at java.base@11.0.11/java.util.concurrent.ThreadPoolExecutor$Worker.run(ThreadPoolExecutor.java:628)
  4130. // at java.base@11.0.11/java.lang.Thread.run(Thread.java:829)
  4131. // Thread: hw_ila_monitor
  4132. // at java.base@11.0.11/java.lang.Object.wait(Native Method)
  4133. // at java.base@11.0.11/java.lang.Object.wait(Object.java:328)
  4134. // at java.base@11.0.11/java.util.TimerThread.mainLoop(Timer.java:527)
  4135. // at java.base@11.0.11/java.util.TimerThread.run(Timer.java:506)
  4136. // Thread: EMF Reference Cleaner
  4137. // at java.base@11.0.11/java.lang.Object.wait(Native Method)
  4138. // at java.base@11.0.11/java.lang.ref.ReferenceQueue.remove(ReferenceQueue.java:155)
  4139. // at java.base@11.0.11/java.lang.ref.ReferenceQueue.remove(ReferenceQueue.java:176)
  4140. // at org.eclipse.emf.common.util.CommonUtil$1ReferenceClearingQueuePollingThread.run(CommonUtil.java:70)
  4141. // Thread: ErrorGobbler
  4142. // at java.base@11.0.11/java.io.FileInputStream.readBytes(Native Method)
  4143. // at java.base@11.0.11/java.io.FileInputStream.read(FileInputStream.java:279)
  4144. // at java.base@11.0.11/sun.nio.cs.StreamDecoder.readBytes(StreamDecoder.java:284)
  4145. // at java.base@11.0.11/sun.nio.cs.StreamDecoder.implRead(StreamDecoder.java:326)
  4146. // at java.base@11.0.11/sun.nio.cs.StreamDecoder.read(StreamDecoder.java:178)
  4147. // at java.base@11.0.11/java.io.InputStreamReader.read(InputStreamReader.java:181)
  4148. // at java.base@11.0.11/java.io.BufferedReader.fill(BufferedReader.java:161)
  4149. // at java.base@11.0.11/java.io.BufferedReader.readLine(BufferedReader.java:326)
  4150. // at java.base@11.0.11/java.io.BufferedReader.readLine(BufferedReader.java:392)
  4151. // at java.base@11.0.11/java.io.BufferedReader$1.hasNext(BufferedReader.java:574)
  4152. // at java.base@11.0.11/java.util.Iterator.forEachRemaining(Iterator.java:132)
  4153. // at java.base@11.0.11/java.util.Spliterators$IteratorSpliterator.forEachRemaining(Spliterators.java:1801)
  4154. // at java.base@11.0.11/java.util.stream.ReferencePipeline$Head.forEach(ReferencePipeline.java:658)
  4155. // at com.sigasi.lcm.C.run(SourceFile:43)
  4156. // Thread: Protocol translation thread 0
  4157. // at java.base@11.0.11/java.net.SocketInputStream.socketRead0(Native Method)
  4158. // at java.base@11.0.11/java.net.SocketInputStream.socketRead(SocketInputStream.java:115)
  4159. // at java.base@11.0.11/java.net.SocketInputStream.read(SocketInputStream.java:168)
  4160. // at java.base@11.0.11/java.net.SocketInputStream.read(SocketInputStream.java:140)
  4161. // at java.base@11.0.11/sun.security.ssl.SSLSocketInputRecord.read(SSLSocketInputRecord.java:478)
  4162. // at java.base@11.0.11/sun.security.ssl.SSLSocketInputRecord.readHeader(SSLSocketInputRecord.java:472)
  4163. // at java.base@11.0.11/sun.security.ssl.SSLSocketInputRecord.bytesInCompletePacket(SSLSocketInputRecord.java:70)
  4164. // at java.base@11.0.11/sun.security.ssl.SSLSocketImpl.readApplicationRecord(SSLSocketImpl.java:1364)
  4165. // at java.base@11.0.11/sun.security.ssl.SSLSocketImpl$AppInputStream.read(SSLSocketImpl.java:973)
  4166. // at java.base@11.0.11/sun.security.ssl.SSLSocketImpl$AppInputStream.read(SSLSocketImpl.java:880)
  4167. // at com.sigasi.hdt.epl.lsp4j.PatchedStreamMessageProducer.listen(PatchedStreamMessageProducer.java:78)
  4168. // at org.eclipse.lsp4j.jsonrpc.json.ConcurrentMessageProcessor.run(ConcurrentMessageProcessor.java:113)
  4169. // at java.base@11.0.11/java.util.concurrent.Executors$RunnableAdapter.call(Executors.java:515)
  4170. // at java.base@11.0.11/java.util.concurrent.FutureTask.run(FutureTask.java:264)
  4171. // at java.base@11.0.11/java.util.concurrent.ThreadPoolExecutor.runWorker(ThreadPoolExecutor.java:1128)
  4172. // at java.base@11.0.11/java.util.concurrent.ThreadPoolExecutor$Worker.run(ThreadPoolExecutor.java:628)
  4173. // at java.base@11.0.11/java.lang.Thread.run(Thread.java:829)
  4174. // Thread: SyntheticaAnimation 70
  4175. // at java.base@11.0.11/java.lang.Thread.sleep(Native Method)
  4176. // at de.javasoft.plaf.synthetica.painter.AnimationThreadFactory$AnimationThread.run(AnimationThreadFactory.java:119)
  4177. // Thread: Process Messages Thread
  4178. // at java.base@11.0.11/java.lang.Thread.sleep(Native Method)
  4179. // at ui.frmwork.c.h.aXx(SourceFile:133)
  4180. // at ui.frmwork.y.run(SourceFile:206)
  4181. // at java.base@11.0.11/java.lang.Thread.run(Thread.java:829)
  4182. // Thread: Java2D Disposer
  4183. // at java.base@11.0.11/java.lang.Object.wait(Native Method)
  4184. // at java.base@11.0.11/java.lang.ref.ReferenceQueue.remove(ReferenceQueue.java:155)
  4185. // at java.base@11.0.11/java.lang.ref.ReferenceQueue.remove(ReferenceQueue.java:176)
  4186. // at java.desktop@11.0.11/sun.java2d.Disposer.run(Disposer.java:144)
  4187. // at java.base@11.0.11/java.lang.Thread.run(Thread.java:829)
  4188. // Thread: Thread-18
  4189. // at java.base@11.0.11/java.lang.Thread.sleep(Native Method)
  4190. // at ui.k.c.z.iHj(SourceFile:136)
  4191. // at ui.k.c.z$$Lambda$253/0x0000000800802840.run(Unknown Source)
  4192. // at java.base@11.0.11/java.lang.Thread.run(Thread.java:829)
  4193. // Thread: SyntheticaAnimation 50
  4194. // at java.base@11.0.11/java.lang.Thread.sleep(Native Method)
  4195. // at ui.utils.lnf.b.run(SourceFile:100)
  4196. // Thread: ForkJoinPool.commonPool-worker-7
  4197. // at java.base@11.0.11/jdk.internal.misc.Unsafe.park(Native Method)
  4198. // at java.base@11.0.11/java.util.concurrent.locks.LockSupport.park(LockSupport.java:194)
  4199. // at java.base@11.0.11/java.util.concurrent.ForkJoinPool.runWorker(ForkJoinPool.java:1628)
  4200. // at java.base@11.0.11/java.util.concurrent.ForkJoinWorkerThread.run(ForkJoinWorkerThread.java:183)
  4201. // Thread: ForkJoinPool.commonPool-worker-11
  4202. // at java.base@11.0.11/jdk.internal.misc.Unsafe.park(Native Method)
  4203. // at java.base@11.0.11/java.util.concurrent.locks.LockSupport.park(LockSupport.java:194)
  4204. // at java.base@11.0.11/java.util.concurrent.ForkJoinPool.runWorker(ForkJoinPool.java:1628)
  4205. // at java.base@11.0.11/java.util.concurrent.ForkJoinWorkerThread.run(ForkJoinWorkerThread.java:183)
  4206. // Thread: SyntheticaAnimation 25
  4207. // at java.base@11.0.11/java.lang.Thread.sleep(Native Method)
  4208. // at de.javasoft.plaf.synthetica.painter.AnimationThreadFactory$AnimationThread.run(AnimationThreadFactory.java:119)
  4209. // Thread: Watchdog Thread for com.sigasi.lsp.server.BootstrappedLspServer
  4210. // at java.base@11.0.11/java.lang.Thread.sleep(Native Method)
  4211. // at com.sigasi.lcm.B.run(SourceFile:66)
  4212. // Thread: Refresh Sources (EDT) Thread
  4213. // at java.base@11.0.11/java.lang.Object.wait(Native Method)
  4214. // at java.base@11.0.11/java.lang.Object.wait(Object.java:328)
  4215. // at java.desktop@11.0.11/java.awt.EventQueue.invokeAndWait(EventQueue.java:1361)
  4216. // at java.desktop@11.0.11/java.awt.EventQueue.invokeAndWait(EventQueue.java:1342)
  4217. // at java.desktop@11.0.11/javax.swing.SwingUtilities.invokeAndWait(SwingUtilities.java:1480)
  4218. // at ui.frmwork.E.fireTclEvent(SourceFile:120)
  4219. // at ui.data.design.dai.HADAFileMgr_refreshFileSets(Native Method)
  4220. // at ui.data.design.p.cfd(SourceFile:2148)
  4221. // at ui.data.design.y.aXx(SourceFile:2131)
  4222. // at ui.frmwork.y.run(SourceFile:206)
  4223. // at java.base@11.0.11/java.lang.Thread.run(Thread.java:829)
  4224. // WARNING: HEventQueue.dispatchEvent() is taking 2269 ms.
  4225. // TclEventType: DG_GRAPH_STALE
  4226. // Elapsed time: 13 seconds
  4227. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  4228. // TclEventType: FILE_SET_CHANGE
  4229. // WARNING: HSwingWorker (Refresh Filesets Swing Worker) is taking 11936 ms. Increasing delay to 35808 ms.
  4230. selectCodeEditor("pwm_test.vhd", 227, 381); // be
  4231. // TclEventType: DG_GRAPH_STALE
  4232. // WARNING: HEventQueue.dispatchEvent() is taking 11073 ms.
  4233. // TclEventType: DG_GRAPH_STALE
  4234. // TclEventType: FILE_SET_CHANGE
  4235. // Elapsed time: 12 seconds
  4236. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 3); // m
  4237. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  4238. selectCodeEditor("pwm_test_db.vhd", 112, 124); // be
  4239. selectCodeEditor("pwm_test_db.vhd", 123, 126); // be
  4240. selectCodeEditor("pwm_test_db.vhd", 323, 265); // be
  4241. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // n
  4242. selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ao
  4243. // Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
  4244. selectButton("OptionPane.button", "Yes"); // JButton
  4245. selectCheckBox((HResource) null, "pwm_test_db.vhd", true); // g: TRUE
  4246. // TclEventType: DG_GRAPH_STALE
  4247. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  4248. // WARNING: HEventQueue.dispatchEvent() is taking 8711 ms.
  4249. // TclEventType: DG_GRAPH_STALE
  4250. // TclEventType: FILE_SET_CHANGE
  4251. // TclEventType: WAVEFORM_CLOSE_WCFG
  4252. // TclEventType: FILE_SET_CHANGE
  4253. dismissDialog("Save Simulation Sources"); // c
  4254. // TclEventType: WAVEFORM_CLOSE_WCFG
  4255. // TclEventType: SIMULATION_CLOSE_SIMULATION
  4256. // Tcl Message: close_sim
  4257. // Tcl Message: INFO: [Simtcl 6-16] Simulation closed
  4258. dismissDialog("Close"); // bA
  4259. // TclEventType: LAUNCH_SIM
  4260. // TclEventType: FILE_SET_OPTIONS_CHANGE
  4261. // Tcl Message: launch_simulation
  4262. // Tcl Message: Command: launch_simulation
  4263. // Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  4264. // Tcl Message: "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  4265. // TclEventType: LAUNCH_SIM_LOG
  4266. // Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  4267. // Tcl Message: "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  4268. // TclEventType: LAUNCH_SIM
  4269. // Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim
  4270. // Tcl Message: with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  4271. // Tcl Message: INFO: [USF-XSim-8] Loading simulator feature
  4272. // TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
  4273. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  4274. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  4275. // TclEventType: SIMULATION_UPDATE_STACKS
  4276. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  4277. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  4278. // Tcl Message: Time resolution is 1 ps
  4279. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  4280. // TclEventType: SIMULATION_UPDATE_STACKS
  4281. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  4282. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  4283. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  4284. // TclEventType: SIMULATION_UPDATE_STACKS
  4285. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  4286. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  4287. closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
  4288. // TclEventType: WAVEFORM_UPDATE_TITLE
  4289. // TclEventType: WAVEFORM_OPEN_WCFG
  4290. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  4291. // TclEventType: SIMULATION_UPDATE_STACKS
  4292. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  4293. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  4294. // TclEventType: WAVEFORM_OPEN_WCFG
  4295. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4296. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  4297. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4298. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  4299. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4300. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  4301. // Tcl Message: open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  4302. // Tcl Message: source pwm_test_db.tcl
  4303. // Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 10 s
  4304. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  4305. // TclEventType: WAVEFORM_MODEL_EVENT
  4306. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4307. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4308. // TclEventType: WAVEFORM_MOVE_CURSOR
  4309. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  4310. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 144 MB. Current time: 5/18/22, 8:36:45 PM CEST
  4311. // TclEventType: WAVEFORM_MODEL_EVENT
  4312. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4313. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4314. // TclEventType: WAVEFORM_MOVE_CURSOR
  4315. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  4316. // TclEventType: WAVEFORM_MODEL_EVENT
  4317. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4318. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4319. // TclEventType: WAVEFORM_MOVE_CURSOR
  4320. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  4321. // TclEventType: SIMULATION_OBJECT_TREE_RESTORED
  4322. // TclEventType: WAVEFORM_MODEL_EVENT
  4323. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4324. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  4325. // TclEventType: WAVEFORM_MODEL_EVENT
  4326. // TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
  4327. // TclEventType: SIMULATION_CURRENT_STACK_CHANGED
  4328. // TclEventType: SIMULATION_UPDATE_STACK_FRAMES
  4329. // TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
  4330. // TclEventType: SIMULATION_UPDATE_LOCALS
  4331. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  4332. // TclEventType: SIMULATION_UPDATE_STACKS
  4333. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  4334. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  4335. // Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 10 s
  4336. // Tcl Message: launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:10 . Memory (MB): peak = 1381.312 ; gain = 25.016
  4337. // 'd' command handler elapsed time: 23 seconds
  4338. dismissDialog("Run Simulation"); // e
  4339. // TclEventType: WAVEFORM_MODEL_EVENT
  4340. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4341. // TclEventType: WAVEFORM_MODEL_EVENT
  4342. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 11, 97); // b
  4343. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4344. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4345. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4346. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4347. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4348. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4349. // TclEventType: WAVEFORM_MOVE_CURSOR
  4350. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 143 MB. Current time: 5/18/22, 8:36:50 PM CEST
  4351. selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // D
  4352. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4353. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 420, 115); // b
  4354. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4355. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4356. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4357. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4358. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4359. // TclEventType: WAVEFORM_MOVE_CURSOR
  4360. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 955, 60); // b
  4361. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4362. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4363. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4364. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4365. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4366. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4367. // TclEventType: WAVEFORM_MOVE_CURSOR
  4368. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 143 MB. Current time: 5/18/22, 8:36:55 PM CEST
  4369. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 6, 79); // b
  4370. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4371. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4372. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4373. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4374. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4375. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4376. // TclEventType: WAVEFORM_MOVE_CURSOR
  4377. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  4378. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4379. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4380. // TclEventType: WAVEFORM_MOVE_CURSOR
  4381. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  4382. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4383. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4384. // TclEventType: WAVEFORM_MOVE_CURSOR
  4385. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 142 MB. Current time: 5/18/22, 8:36:59 PM CEST
  4386. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  4387. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4388. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4389. // TclEventType: WAVEFORM_MOVE_CURSOR
  4390. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4391. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4392. // TclEventType: WAVEFORM_MOVE_CURSOR
  4393. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4394. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4395. // TclEventType: WAVEFORM_MOVE_CURSOR
  4396. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 143 MB. Current time: 5/18/22, 8:37:00 PM CEST
  4397. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  4398. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4399. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4400. // TclEventType: WAVEFORM_MOVE_CURSOR
  4401. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  4402. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4403. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4404. // TclEventType: WAVEFORM_MOVE_CURSOR
  4405. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4406. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4407. // TclEventType: WAVEFORM_MOVE_CURSOR
  4408. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 143 MB. Current time: 5/18/22, 8:37:01 PM CEST
  4409. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4410. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 143 MB. Current time: 5/18/22, 8:37:04 PM CEST
  4411. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4412. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 142 MB. Current time: 5/18/22, 8:37:04 PM CEST
  4413. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4414. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 142 MB. Current time: 5/18/22, 8:37:04 PM CEST
  4415. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4416. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 151 MB. Current time: 5/18/22, 8:37:04 PM CEST
  4417. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4418. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 142 MB. Current time: 5/18/22, 8:37:04 PM CEST
  4419. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4420. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 142 MB. Current time: 5/18/22, 8:37:05 PM CEST
  4421. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4422. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 142 MB. Current time: 5/18/22, 8:37:05 PM CEST
  4423. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4424. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 142 MB. Current time: 5/18/22, 8:37:05 PM CEST
  4425. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4426. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 149 MB. Current time: 5/18/22, 8:37:05 PM CEST
  4427. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4428. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 142 MB. Current time: 5/18/22, 8:37:05 PM CEST
  4429. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4430. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 142 MB. Current time: 5/18/22, 8:37:05 PM CEST
  4431. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4432. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 142 MB. Current time: 5/18/22, 8:37:05 PM CEST
  4433. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4434. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 143 MB. Current time: 5/18/22, 8:37:06 PM CEST
  4435. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4436. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 143 MB. Current time: 5/18/22, 8:37:07 PM CEST
  4437. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4438. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 142 MB. Current time: 5/18/22, 8:37:07 PM CEST
  4439. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4440. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 142 MB. Current time: 5/18/22, 8:37:07 PM CEST
  4441. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4442. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 142 MB. Current time: 5/18/22, 8:37:07 PM CEST
  4443. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4444. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 142 MB. Current time: 5/18/22, 8:37:07 PM CEST
  4445. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4446. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 142 MB. Current time: 5/18/22, 8:37:08 PM CEST
  4447. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4448. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 142 MB. Current time: 5/18/22, 8:37:08 PM CEST
  4449. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4450. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 142 MB. Current time: 5/18/22, 8:37:08 PM CEST
  4451. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4452. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 143 MB. Current time: 5/18/22, 8:37:08 PM CEST
  4453. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4454. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 143 MB. Current time: 5/18/22, 8:37:09 PM CEST
  4455. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4456. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 143 MB. Current time: 5/18/22, 8:37:09 PM CEST
  4457. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4458. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 142 MB. Current time: 5/18/22, 8:37:09 PM CEST
  4459. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4460. // WARNING: HSwingWorker (Refresh Filesets Swing Worker) is taking 6 ms. Decreasing delay to 2006 ms.
  4461. // Elapsed time: 14 seconds
  4462. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 174, 233); // b
  4463. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4464. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4465. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4466. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4467. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4468. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4469. // TclEventType: WAVEFORM_MOVE_CURSOR
  4470. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 143 MB. Current time: 5/18/22, 8:37:15 PM CEST
  4471. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 711, 216); // b
  4472. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4473. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4474. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4475. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4476. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4477. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4478. // TclEventType: WAVEFORM_MOVE_CURSOR
  4479. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 15, 218); // b
  4480. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4481. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4482. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4483. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4484. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4485. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4486. // TclEventType: WAVEFORM_MOVE_CURSOR
  4487. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 7, 215); // b
  4488. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4489. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4490. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4491. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4492. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4493. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4494. // TclEventType: WAVEFORM_MOVE_CURSOR
  4495. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 143 MB. Current time: 5/18/22, 8:37:18 PM CEST
  4496. selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // D
  4497. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4498. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 9, 169); // b
  4499. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4500. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4501. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4502. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4503. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4504. // TclEventType: WAVEFORM_MOVE_CURSOR
  4505. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 118, 169); // b
  4506. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4507. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4508. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4509. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4510. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4511. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4512. // TclEventType: WAVEFORM_MOVE_CURSOR
  4513. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 142 MB. Current time: 5/18/22, 8:37:22 PM CEST
  4514. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 418, 171); // b
  4515. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4516. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4517. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4518. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4519. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4520. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4521. // TclEventType: WAVEFORM_MOVE_CURSOR
  4522. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 552, 159); // b
  4523. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4524. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4525. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4526. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4527. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4528. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4529. // TclEventType: WAVEFORM_MOVE_CURSOR
  4530. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 8, 194); // b
  4531. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4532. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4533. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4534. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4535. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4536. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4537. // TclEventType: WAVEFORM_MOVE_CURSOR
  4538. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 142 MB. Current time: 5/18/22, 8:37:27 PM CEST
  4539. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  4540. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  4541. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  4542. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 3); // m
  4543. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 138, 115); // b
  4544. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4545. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4546. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4547. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4548. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4549. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4550. // TclEventType: WAVEFORM_MOVE_CURSOR
  4551. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 359, 140); // b
  4552. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4553. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4554. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4555. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4556. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4557. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4558. // TclEventType: WAVEFORM_MOVE_CURSOR
  4559. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  4560. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 3); // m
  4561. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 49, 166); // b
  4562. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4563. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4564. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4565. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4566. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4567. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4568. // TclEventType: WAVEFORM_MOVE_CURSOR
  4569. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 143 MB. Current time: 5/18/22, 8:37:47 PM CEST
  4570. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 134, 167); // b
  4571. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4572. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4573. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4574. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4575. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4576. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4577. // TclEventType: WAVEFORM_MOVE_CURSOR
  4578. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 5, 152); // b
  4579. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4580. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4581. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4582. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4583. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4584. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4585. // TclEventType: WAVEFORM_MOVE_CURSOR
  4586. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  4587. // Elapsed time: 200 seconds
  4588. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 3); // m
  4589. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 92, 282); // b
  4590. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4591. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4592. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4593. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4594. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4595. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4596. // TclEventType: WAVEFORM_MOVE_CURSOR
  4597. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 143 MB. Current time: 5/18/22, 8:41:14 PM CEST
  4598. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  4599. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  4600. selectCodeEditor("pt1.vhd", 239, 283); // be
  4601. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  4602. selectCodeEditor("pwm_test.vhd", 356, 369); // be
  4603. // Elapsed time: 41 seconds
  4604. selectCodeEditor("pwm_test.vhd", 246, 60); // be
  4605. selectCodeEditor("pwm_test.vhd", 220, 76); // be
  4606. typeControlKey((HResource) null, "pwm_test.vhd", 'v'); // be
  4607. selectCodeEditor("pwm_test.vhd", 234, 62); // be
  4608. selectCodeEditor("pwm_test.vhd", 219, 80); // be
  4609. selectCodeEditor("pwm_test.vhd", 252, 73); // be
  4610. // Elapsed time: 12 seconds
  4611. selectCodeEditor("pwm_test.vhd", 92, 89); // be
  4612. // Elapsed time: 12 seconds
  4613. selectCodeEditor("pwm_test.vhd", 225, 83); // be
  4614. selectCodeEditor("pwm_test.vhd", 251, 90); // be
  4615. selectCodeEditor("pwm_test.vhd", 329, 334); // be
  4616. typeControlKey((HResource) null, "pwm_test.vhd", 'c'); // be
  4617. selectCodeEditor("pwm_test.vhd", 260, 86); // be
  4618. typeControlKey((HResource) null, "pwm_test.vhd", 'v'); // be
  4619. selectCodeEditor("pwm_test.vhd", 245, 137); // be
  4620. selectCodeEditor("pwm_test.vhd", 367, 78); // be
  4621. // Elapsed time: 27 seconds
  4622. selectCodeEditor("pwm_test.vhd", 332, 245); // be
  4623. selectCodeEditor("pwm_test.vhd", 143, 88); // be
  4624. selectCodeEditor("pwm_test.vhd", 143, 88, false, false, false, false, true); // be - Double Click
  4625. selectCodeEditor("pwm_test.vhd", 327, 249); // be
  4626. selectCodeEditor("pwm_test.vhd", 569, 325); // be
  4627. typeControlKey((HResource) null, "pwm_test.vhd", 'c'); // be
  4628. selectCodeEditor("pwm_test.vhd", 433, 88); // be
  4629. typeControlKey((HResource) null, "pwm_test.vhd", 'v'); // be
  4630. selectCodeEditor("pwm_test.vhd", 277, 186); // be
  4631. selectCodeEditor("pwm_test.vhd", 112, 113); // be
  4632. selectCodeEditor("pwm_test.vhd", 112, 113, false, false, false, false, true); // be - Double Click
  4633. selectCodeEditor("pwm_test.vhd", 89, 117); // be
  4634. selectCodeEditor("pwm_test.vhd", 89, 112); // be
  4635. selectCodeEditor("pwm_test.vhd", 84, 108); // be
  4636. selectCodeEditor("pwm_test.vhd", 3, 315); // be
  4637. selectCodeEditor("pwm_test.vhd", 353, 370); // be
  4638. selectButton(RDIResourceCommand.RDICommands_LINE_COMMENT, (String) null); // D
  4639. selectCodeEditor("pwm_test.vhd", 477, 318); // be
  4640. selectCodeEditor("pwm_test.vhd", 315, 194); // be
  4641. selectCodeEditor("pwm_test.vhd", 394, 276); // be
  4642. typeControlKey((HResource) null, "pwm_test.vhd", 'c'); // be
  4643. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  4644. selectCodeEditor("pwm_test_db.vhd", 362, 199); // be
  4645. typeControlKey((HResource) null, "pwm_test_db.vhd", 'v'); // be
  4646. selectCodeEditor("pwm_test_db.vhd", 262, 374); // be
  4647. selectCodeEditor("pwm_test_db.vhd", 110, 243); // be
  4648. // Elapsed time: 38 seconds
  4649. selectCodeEditor("pwm_test_db.vhd", 242, 296); // be
  4650. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  4651. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  4652. selectCodeEditor("pwm_test.vhd", 281, 246); // be
  4653. selectCodeEditor("pwm_test.vhd", 389, 229); // be
  4654. typeControlKey((HResource) null, "pwm_test.vhd", 'c'); // be
  4655. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  4656. selectCodeEditor("pwm_test_db.vhd", 274, 131); // be
  4657. typeControlKey((HResource) null, "pwm_test_db.vhd", 'v'); // be
  4658. selectCodeEditor("pwm_test_db.vhd", 72, 163); // be
  4659. typeControlKey(null, null, 'z');
  4660. typeControlKey((HResource) null, "pwm_test_db.vhd", 'v'); // be
  4661. selectCodeEditor("pwm_test_db.vhd", 422, 206); // be
  4662. typeControlKey(null, null, 'z');
  4663. typeControlKey(null, null, 'z');
  4664. typeControlKey(null, null, 'z');
  4665. typeControlKey(null, null, 'z');
  4666. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  4667. selectCodeEditor("pwm_test.vhd", 119, 292); // be
  4668. selectCodeEditor("pwm_test.vhd", 375, 267); // be
  4669. typeControlKey((HResource) null, "pwm_test.vhd", 'c'); // be
  4670. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  4671. selectCodeEditor("pwm_test_db.vhd", 18, 160); // be
  4672. typeControlKey((HResource) null, "pwm_test_db.vhd", 'v'); // be
  4673. selectCodeEditor("pwm_test_db.vhd", 188, 244); // be
  4674. selectCodeEditor("pwm_test_db.vhd", 400, 209); // be
  4675. selectButton(RDIResourceCommand.RDICommands_LINE_COMMENT, (String) null); // D
  4676. selectCodeEditor("pwm_test_db.vhd", 148, 260); // be
  4677. // Elapsed time: 49 seconds
  4678. selectCodeEditor("pwm_test_db.vhd", 165, 246); // be
  4679. selectCodeEditor("pwm_test_db.vhd", 252, 155); // be
  4680. selectCodeEditor("pwm_test_db.vhd", 231, 181); // be
  4681. selectCodeEditor("pwm_test_db.vhd", 319, 258); // be
  4682. // TclEventType: DG_GRAPH_STALE
  4683. // WARNING: HEventQueue.dispatchEvent() is taking 7228 ms.
  4684. // TclEventType: DG_GRAPH_STALE
  4685. // TclEventType: FILE_SET_CHANGE
  4686. // WARNING: HSwingWorker (Refresh Filesets Swing Worker) is taking 6520 ms. Increasing delay to 19560 ms.
  4687. // Elapsed time: 20 seconds
  4688. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  4689. selectCodeEditor("pwm_test.vhd", 231, 340); // be
  4690. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 3); // m
  4691. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 0); // m
  4692. selectCodeEditor("pwm_test.vhd", 262, 207); // be
  4693. // TclEventType: DG_GRAPH_STALE
  4694. // WARNING: HEventQueue.dispatchEvent() is taking 8200 ms.
  4695. // TclEventType: DG_GRAPH_STALE
  4696. // TclEventType: FILE_SET_CHANGE
  4697. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  4698. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  4699. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 3); // m
  4700. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 182, 57); // b
  4701. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4702. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4703. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4704. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4705. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4706. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4707. // TclEventType: WAVEFORM_MOVE_CURSOR
  4708. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  4709. // Elapsed time: 10 seconds
  4710. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  4711. selectCodeEditor("pt1.vhd", 583, 258); // be
  4712. // WARNING: HSwingWorker (Refresh Filesets Swing Worker) is taking 7 ms. Decreasing delay to 2007 ms.
  4713. selectCodeEditor("pt1.vhd", 217, 264); // be
  4714. selectCodeEditor("pt1.vhd", 122, 286); // be
  4715. selectCodeEditor("pt1.vhd", 162, 278); // be
  4716. selectCodeEditor("pt1.vhd", 133, 274); // be
  4717. selectCodeEditor("pt1.vhd", 111, 282); // be
  4718. selectCodeEditor("pt1.vhd", 111, 282, false, false, false, false, true); // be - Double Click
  4719. // Elapsed time: 19 seconds
  4720. selectCodeEditor("pt1.vhd", 77, 307); // be
  4721. selectCodeEditor("pt1.vhd", 239, 324); // be
  4722. selectCodeEditor("pt1.vhd", 283, 168); // be
  4723. selectCodeEditor("pt1.vhd", 277, 176); // be
  4724. selectCodeEditor("pt1.vhd", 191, 250); // be
  4725. selectCodeEditor("pt1.vhd", 230, 200); // be
  4726. selectCodeEditor("pt1.vhd", 162, 193); // be
  4727. selectCodeEditor("pt1.vhd", 212, 193); // be
  4728. selectCodeEditor("pt1.vhd", 219, 196); // be
  4729. typeControlKey((HResource) null, "pt1.vhd", 'c'); // be
  4730. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  4731. selectCodeEditor("pwm_test_db.vhd", 224, 310); // be
  4732. typeControlKey((HResource) null, "pwm_test_db.vhd", 'v'); // be
  4733. selectCodeEditor("pwm_test_db.vhd", 298, 335); // be
  4734. selectCodeEditor("pwm_test_db.vhd", 51, 315); // be
  4735. selectCodeEditor("pwm_test_db.vhd", 54, 330); // be
  4736. selectCodeEditor("pwm_test_db.vhd", 57, 354); // be
  4737. selectCodeEditor("pwm_test_db.vhd", 85, 335); // be
  4738. selectCodeEditor("pwm_test_db.vhd", 239, 197); // be
  4739. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 1); // m
  4740. selectCodeEditor("pt1.vhd", 80, 224); // be
  4741. selectCodeEditor("pt1.vhd", 0, 352); // be
  4742. selectCodeEditor("pt1.vhd", 184, 364); // be
  4743. typeControlKey((HResource) null, "pt1.vhd", 'c'); // be
  4744. selectCodeEditor("pt1.vhd", 1, 348); // be
  4745. selectCodeEditor("pt1.vhd", 176, 99); // be
  4746. selectCodeEditor("pt1.vhd", 191, 153); // be
  4747. selectCodeEditor("pt1.vhd", 217, 292); // be
  4748. // TclEventType: DG_GRAPH_STALE
  4749. // WARNING: HEventQueue.dispatchEvent() is taking 8061 ms.
  4750. // TclEventType: DG_GRAPH_STALE
  4751. // TclEventType: FILE_SET_CHANGE
  4752. // WARNING: HSwingWorker (Refresh Filesets Swing Worker) is taking 6339 ms. Increasing delay to 19017 ms.
  4753. // Elapsed time: 10 seconds
  4754. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  4755. selectCodeEditor("pwm_test_db.vhd", 194, 270); // be
  4756. // TclEventType: DG_GRAPH_STALE
  4757. // WARNING: HEventQueue.dispatchEvent() is taking 7966 ms.
  4758. // TclEventType: DG_GRAPH_STALE
  4759. // TclEventType: FILE_SET_CHANGE
  4760. // Elapsed time: 11 seconds
  4761. selectCodeEditor("pwm_test_db.vhd", 16, 131); // be
  4762. // WARNING: HSwingWorker (Refresh Filesets Swing Worker) is taking 7 ms. Decreasing delay to 2007 ms.
  4763. selectCodeEditor("pwm_test_db.vhd", 42, 127); // be
  4764. typeControlKey((HResource) null, "pwm_test_db.vhd", 'v'); // be
  4765. selectCodeEditor("pwm_test_db.vhd", 103, 149); // be
  4766. selectCodeEditor("pwm_test_db.vhd", 273, 209); // be
  4767. selectCodeEditor("pwm_test_db.vhd", 0, 162); // be
  4768. selectCodeEditor("pwm_test_db.vhd", 59, 144); // be
  4769. selectCodeEditor("pwm_test_db.vhd", 10, 177); // be
  4770. selectCodeEditor("pwm_test_db.vhd", 159, 192); // be
  4771. selectCodeEditor("pwm_test_db.vhd", 29, 151); // be
  4772. selectCodeEditor("pwm_test_db.vhd", 29, 151, false, false, false, false, true); // be - Double Click
  4773. selectCodeEditor("pwm_test_db.vhd", 339, 243); // be
  4774. selectCodeEditor("pwm_test_db.vhd", 297, 339); // be
  4775. // TclEventType: DG_GRAPH_STALE
  4776. // [GUI Memory]: 212 MB (+3955kb) [01:20:19]
  4777. // WARNING: HEventQueue.dispatchEvent() is taking 8093 ms.
  4778. // TclEventType: DG_GRAPH_STALE
  4779. // TclEventType: FILE_SET_CHANGE
  4780. // Elapsed time: 11 seconds
  4781. selectCodeEditor("pwm_test_db.vhd", 484, 315); // be
  4782. // WARNING: HSwingWorker (Refresh Filesets Swing Worker) is taking 6855 ms. Increasing delay to 20565 ms.
  4783. // Elapsed time: 17 seconds
  4784. selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 1259, 37); // dT
  4785. selectCodeEditor("pwm_test_db.vhd", 305, 414); // be
  4786. selectCodeEditor("pwm_test_db.vhd", 282, 251); // be
  4787. // WARNING: HSwingWorker (Refresh Filesets Swing Worker) is taking 6 ms. Decreasing delay to 2006 ms.
  4788. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 14, false); // n
  4789. // Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
  4790. // TclEventType: RUN_MODIFY
  4791. // TclEventType: RUN_RESET
  4792. // TclEventType: RUN_STATUS_CHANGE
  4793. // TclEventType: RUN_RESET
  4794. // TclEventType: RUN_MODIFY
  4795. // Tcl Message: reset_run synth_1
  4796. // Tcl Message: INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  4797. // TclEventType: FILE_SET_CHANGE
  4798. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  4799. dismissDialog("Launch Runs"); // f
  4800. // TclEventType: RUN_LAUNCH
  4801. // TclEventType: RUN_MODIFY
  4802. // Tcl Message: launch_runs synth_1 -jobs 6
  4803. // Tcl Message: [Wed May 18 20:50:45 2022] Launched synth_1... Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  4804. dismissDialog("Starting Design Runs"); // bA
  4805. // TclEventType: RUN_STATUS_CHANGE
  4806. // TclEventType: RUN_COMPLETED
  4807. // TclEventType: RUN_STATUS_CHANGE
  4808. // TclEventType: RUN_STEP_COMPLETED
  4809. // Elapsed time: 63 seconds
  4810. selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a
  4811. dismissDialog("Synthesis Completed"); // ag
  4812. selectCodeEditor("pwm_test_db.vhd", 143, 276); // be
  4813. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // n
  4814. selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ao
  4815. // Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
  4816. selectButton("OptionPane.button", "Yes"); // JButton
  4817. // TclEventType: WAVEFORM_CLOSE_WCFG
  4818. // TclEventType: SIMULATION_CLOSE_SIMULATION
  4819. // Tcl Message: close_sim
  4820. // Tcl Message: INFO: [Simtcl 6-16] Simulation closed
  4821. dismissDialog("Close"); // bA
  4822. // TclEventType: LAUNCH_SIM
  4823. // TclEventType: FILE_SET_OPTIONS_CHANGE
  4824. // Tcl Message: launch_simulation
  4825. // Tcl Message: Command: launch_simulation
  4826. // Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  4827. // Tcl Message: "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  4828. // TclEventType: LAUNCH_SIM_LOG
  4829. // Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  4830. // Tcl Message: "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  4831. // TclEventType: LAUNCH_SIM
  4832. // Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim
  4833. // Tcl Message: with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  4834. // Tcl Message: INFO: [USF-XSim-8] Loading simulator feature
  4835. // TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
  4836. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  4837. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  4838. // TclEventType: SIMULATION_UPDATE_STACKS
  4839. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  4840. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  4841. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  4842. // TclEventType: SIMULATION_UPDATE_STACKS
  4843. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  4844. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  4845. // Tcl Message: Time resolution is 1 ps
  4846. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  4847. // TclEventType: SIMULATION_UPDATE_STACKS
  4848. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  4849. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  4850. closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
  4851. // TclEventType: WAVEFORM_UPDATE_TITLE
  4852. // TclEventType: WAVEFORM_OPEN_WCFG
  4853. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  4854. // TclEventType: SIMULATION_UPDATE_STACKS
  4855. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  4856. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  4857. // TclEventType: WAVEFORM_OPEN_WCFG
  4858. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4859. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  4860. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4861. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  4862. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4863. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  4864. // Tcl Message: open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  4865. // Tcl Message: source pwm_test_db.tcl
  4866. // Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 10 s
  4867. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  4868. // TclEventType: WAVEFORM_MODEL_EVENT
  4869. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4870. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4871. // TclEventType: WAVEFORM_MOVE_CURSOR
  4872. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  4873. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 148 MB. Current time: 5/18/22, 8:52:03 PM CEST
  4874. // TclEventType: WAVEFORM_MODEL_EVENT
  4875. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4876. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4877. // TclEventType: WAVEFORM_MOVE_CURSOR
  4878. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  4879. // TclEventType: WAVEFORM_MODEL_EVENT
  4880. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4881. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4882. // TclEventType: WAVEFORM_MOVE_CURSOR
  4883. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  4884. // TclEventType: SIMULATION_OBJECT_TREE_RESTORED
  4885. // TclEventType: WAVEFORM_MODEL_EVENT
  4886. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4887. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  4888. // TclEventType: WAVEFORM_MODEL_EVENT
  4889. // TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
  4890. // TclEventType: SIMULATION_CURRENT_STACK_CHANGED
  4891. // TclEventType: SIMULATION_UPDATE_STACK_FRAMES
  4892. // TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
  4893. // TclEventType: SIMULATION_UPDATE_LOCALS
  4894. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  4895. // TclEventType: SIMULATION_UPDATE_STACKS
  4896. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  4897. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  4898. // Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 10 s
  4899. // Tcl Message: launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:10 . Memory (MB): peak = 1388.887 ; gain = 7.539
  4900. // 'd' command handler elapsed time: 12 seconds
  4901. dismissDialog("Run Simulation"); // e
  4902. // TclEventType: WAVEFORM_MODEL_EVENT
  4903. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4904. // TclEventType: WAVEFORM_MODEL_EVENT
  4905. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4906. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 49, 141); // b
  4907. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4908. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4909. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4910. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4911. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4912. // TclEventType: WAVEFORM_MOVE_CURSOR
  4913. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 147 MB. Current time: 5/18/22, 8:52:07 PM CEST
  4914. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4915. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 90, 47); // b
  4916. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4917. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4918. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4919. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4920. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4921. // TclEventType: WAVEFORM_MOVE_CURSOR
  4922. selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // D
  4923. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4924. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 24, 97); // b
  4925. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4926. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4927. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4928. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4929. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4930. // TclEventType: WAVEFORM_MOVE_CURSOR
  4931. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 147 MB. Current time: 5/18/22, 8:52:20 PM CEST
  4932. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 13, 81); // b
  4933. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4934. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4935. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4936. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  4937. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4938. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4939. // TclEventType: WAVEFORM_MOVE_CURSOR
  4940. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  4941. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4942. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4943. // TclEventType: WAVEFORM_MOVE_CURSOR
  4944. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4945. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4946. // TclEventType: WAVEFORM_MOVE_CURSOR
  4947. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 147 MB. Current time: 5/18/22, 8:52:22 PM CEST
  4948. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  4949. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4950. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4951. // TclEventType: WAVEFORM_MOVE_CURSOR
  4952. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4953. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4954. // TclEventType: WAVEFORM_MOVE_CURSOR
  4955. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4956. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4957. // TclEventType: WAVEFORM_MOVE_CURSOR
  4958. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 147 MB. Current time: 5/18/22, 8:52:23 PM CEST
  4959. selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // D
  4960. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4961. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  4962. // TclEventType: WAVEFORM_MOVE_CURSOR
  4963. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4964. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 147 MB. Current time: 5/18/22, 8:52:24 PM CEST
  4965. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4966. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 146 MB. Current time: 5/18/22, 8:52:27 PM CEST
  4967. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4968. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 154 MB. Current time: 5/18/22, 8:52:27 PM CEST
  4969. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4970. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 146 MB. Current time: 5/18/22, 8:52:27 PM CEST
  4971. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4972. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 146 MB. Current time: 5/18/22, 8:52:27 PM CEST
  4973. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4974. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 154 MB. Current time: 5/18/22, 8:52:27 PM CEST
  4975. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4976. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 156 MB. Current time: 5/18/22, 8:52:27 PM CEST
  4977. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4978. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 156 MB. Current time: 5/18/22, 8:52:27 PM CEST
  4979. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4980. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 160 MB. Current time: 5/18/22, 8:52:28 PM CEST
  4981. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4982. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 146 MB. Current time: 5/18/22, 8:52:28 PM CEST
  4983. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4984. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 146 MB. Current time: 5/18/22, 8:52:28 PM CEST
  4985. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4986. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 146 MB. Current time: 5/18/22, 8:52:28 PM CEST
  4987. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4988. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 154 MB. Current time: 5/18/22, 8:52:28 PM CEST
  4989. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4990. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 146 MB. Current time: 5/18/22, 8:52:28 PM CEST
  4991. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4992. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 150 MB. Current time: 5/18/22, 8:52:28 PM CEST
  4993. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4994. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 153 MB. Current time: 5/18/22, 8:52:28 PM CEST
  4995. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4996. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 146 MB. Current time: 5/18/22, 8:52:28 PM CEST
  4997. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  4998. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 146 MB. Current time: 5/18/22, 8:52:29 PM CEST
  4999. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  5000. // HMemoryUtils.trashcanNow. Engine heap size: 1,269 MB. GUI used memory: 146 MB. Current time: 5/18/22, 8:52:29 PM CEST
  5001. selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // D
  5002. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  5003. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 337, 243); // b
  5004. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  5005. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  5006. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  5007. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  5008. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  5009. // TclEventType: WAVEFORM_MOVE_CURSOR
  5010. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  5011. selectCodeEditor("pwm_test_db.vhd", 254, 421); // be
  5012. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 3); // m
  5013. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 2); // m
  5014. selectCodeEditor("pwm_test_db.vhd", 199, 369); // be