You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

gen_run.xml 5.5KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129
  1. <?xml version="1.0" encoding="UTF-8"?>
  2. <GenRun Id="synth_1" LaunchPart="xc7z010clg400-1" LaunchTime="1653339519" LaunchIncrCheckpoint="$PPRDIR/../../../../../StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp">
  3. <File Type="PA-TCL" Name="regler.tcl"/>
  4. <File Type="RDS-PROPCONSTRS" Name="regler_drc_synth.rpt"/>
  5. <File Type="REPORTS-TCL" Name="regler_reports.tcl"/>
  6. <File Type="RDS-RDS" Name="regler.vds"/>
  7. <File Type="RDS-UTIL" Name="regler_utilization_synth.rpt"/>
  8. <File Type="RDS-UTIL-PB" Name="regler_utilization_synth.pb"/>
  9. <File Type="RDS-DCP" Name="regler.dcp"/>
  10. <File Type="VDS-TIMINGSUMMARY" Name="regler_timing_summary_synth.rpt"/>
  11. <File Type="VDS-TIMING-PB" Name="regler_timing_summary_synth.pb"/>
  12. <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
  13. <Filter Type="Srcs"/>
  14. <File Path="$PSRCDIR/sources_1/new/pwm_test.vhd">
  15. <FileInfo>
  16. <Attr Name="UsedIn" Val="synthesis"/>
  17. <Attr Name="UsedIn" Val="simulation"/>
  18. </FileInfo>
  19. </File>
  20. <File Path="$PSRCDIR/sources_1/bd/design_1/design_1.bd">
  21. <FileInfo>
  22. <Attr Name="AutoDisabled" Val="1"/>
  23. <Attr Name="UsedIn" Val="synthesis"/>
  24. <Attr Name="UsedIn" Val="implementation"/>
  25. <Attr Name="UsedIn" Val="simulation"/>
  26. </FileInfo>
  27. </File>
  28. <File Path="$PSRCDIR/sources_1/new/pt1.vhd">
  29. <FileInfo>
  30. <Attr Name="AutoDisabled" Val="1"/>
  31. <Attr Name="UsedIn" Val="synthesis"/>
  32. <Attr Name="UsedIn" Val="simulation"/>
  33. </FileInfo>
  34. </File>
  35. <File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_pkg.vhdl">
  36. <FileInfo SFType="VHDL2008">
  37. <Attr Name="Library" Val="ieee_proposed"/>
  38. <Attr Name="AutoDisabled" Val="1"/>
  39. <Attr Name="ImportPath" Val="$PPRDIR/../../../../../Bibliotheken/fixedPoint/fixed_pkg.vhdl"/>
  40. <Attr Name="ImportTime" Val="1652436402"/>
  41. <Attr Name="UsedIn" Val="synthesis"/>
  42. <Attr Name="UsedIn" Val="simulation"/>
  43. </FileInfo>
  44. </File>
  45. <File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_float_types.vhdl">
  46. <FileInfo SFType="VHDL2008">
  47. <Attr Name="Library" Val="ieee_proposed"/>
  48. <Attr Name="AutoDisabled" Val="1"/>
  49. <Attr Name="ImportPath" Val="$PPRDIR/../../../../../Bibliotheken/fixedPoint/fixed_float_types.vhdl"/>
  50. <Attr Name="ImportTime" Val="1652436395"/>
  51. <Attr Name="UsedIn" Val="synthesis"/>
  52. <Attr Name="UsedIn" Val="simulation"/>
  53. </FileInfo>
  54. </File>
  55. <File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_generic_pkg.vhdl">
  56. <FileInfo SFType="VHDL2008">
  57. <Attr Name="Library" Val="ieee_proposed"/>
  58. <Attr Name="AutoDisabled" Val="1"/>
  59. <Attr Name="ImportPath" Val="$PPRDIR/../../../../../Bibliotheken/fixedPoint/fixed_generic_pkg.vhdl"/>
  60. <Attr Name="ImportTime" Val="1652436398"/>
  61. <Attr Name="UsedIn" Val="synthesis"/>
  62. <Attr Name="UsedIn" Val="simulation"/>
  63. </FileInfo>
  64. </File>
  65. <File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_generic_pkg-body.vhdl">
  66. <FileInfo SFType="VHDL2008">
  67. <Attr Name="Library" Val="ieee_proposed"/>
  68. <Attr Name="AutoDisabled" Val="1"/>
  69. <Attr Name="ImportPath" Val="$PPRDIR/../../../../../Bibliotheken/fixedPoint/fixed_generic_pkg-body.vhdl"/>
  70. <Attr Name="ImportTime" Val="1652436400"/>
  71. <Attr Name="UsedIn" Val="synthesis"/>
  72. <Attr Name="UsedIn" Val="simulation"/>
  73. </FileInfo>
  74. </File>
  75. <Config>
  76. <Option Name="DesignMode" Val="RTL"/>
  77. <Option Name="TopModule" Val="regler"/>
  78. <Option Name="TopAutoSet" Val="TRUE"/>
  79. </Config>
  80. </FileSet>
  81. <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
  82. <Filter Type="Constrs"/>
  83. <File Path="$PSRCDIR/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc">
  84. <FileInfo>
  85. <Attr Name="ImportPath" Val="$PPRDIR/../../New_folder/digilent-xdc-master/Cora-Z7-10-Master.xdc"/>
  86. <Attr Name="ImportTime" Val="1640790689"/>
  87. <Attr Name="UsedIn" Val="synthesis"/>
  88. <Attr Name="UsedIn" Val="implementation"/>
  89. </FileInfo>
  90. </File>
  91. <Config>
  92. <Option Name="ConstrsType" Val="XDC"/>
  93. </Config>
  94. </FileSet>
  95. <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1">
  96. <Filter Type="Utils"/>
  97. <File Path="$PSRCDIR/utils_1/imports/synth_1/pwm_test.dcp">
  98. <FileInfo>
  99. <Attr Name="UsedIn" Val="synthesis"/>
  100. <Attr Name="UsedIn" Val="implementation"/>
  101. <Attr Name="UsedInSteps" Val="synth_1"/>
  102. <Attr Name="AutoDcp" Val="1"/>
  103. </FileInfo>
  104. </File>
  105. <File Path="$PSRCDIR/utils_1/imports/synth_1/regler.dcp">
  106. <FileInfo>
  107. <Attr Name="UsedIn" Val="synthesis"/>
  108. <Attr Name="UsedIn" Val="implementation"/>
  109. <Attr Name="UsedInSteps" Val="synth_1"/>
  110. <Attr Name="AutoDcp" Val="1"/>
  111. </FileInfo>
  112. </File>
  113. <File Path="$PPRDIR/../../../../../StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp">
  114. <FileInfo>
  115. <Attr Name="UsedIn" Val="synthesis"/>
  116. <Attr Name="UsedIn" Val="implementation"/>
  117. <Attr Name="UsedInSteps" Val="synth_1"/>
  118. <Attr Name="AutoDcp" Val="1"/>
  119. </FileInfo>
  120. </File>
  121. <Config>
  122. <Option Name="TopAutoSet" Val="TRUE"/>
  123. </Config>
  124. </FileSet>
  125. <Strategy Version="1" Minor="2">
  126. <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2021"/>
  127. <Step Id="synth_design"/>
  128. </Strategy>
  129. </GenRun>