You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

regler.tcl 5.9KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123
  1. #
  2. # Synthesis run script generated by Vivado
  3. #
  4. set TIME_start [clock seconds]
  5. namespace eval ::optrace {
  6. variable script "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.tcl"
  7. variable category "vivado_synth"
  8. }
  9. # Try to connect to running dispatch if we haven't done so already.
  10. # This code assumes that the Tcl interpreter is not using threads,
  11. # since the ::dispatch::connected variable isn't mutex protected.
  12. if {![info exists ::dispatch::connected]} {
  13. namespace eval ::dispatch {
  14. variable connected false
  15. if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} {
  16. set result "true"
  17. if {[catch {
  18. if {[lsearch -exact [package names] DispatchTcl] < 0} {
  19. set result [load librdi_cd_clienttcl[info sharedlibextension]]
  20. }
  21. if {$result eq "false"} {
  22. puts "WARNING: Could not load dispatch client library"
  23. }
  24. set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ]
  25. if { $connect_id eq "" } {
  26. puts "WARNING: Could not initialize dispatch client"
  27. } else {
  28. puts "INFO: Dispatch client connection id - $connect_id"
  29. set connected true
  30. }
  31. } catch_res]} {
  32. puts "WARNING: failed to connect to dispatch server - $catch_res"
  33. }
  34. }
  35. }
  36. }
  37. if {$::dispatch::connected} {
  38. # Remove the dummy proc if it exists.
  39. if { [expr {[llength [info procs ::OPTRACE]] > 0}] } {
  40. rename ::OPTRACE ""
  41. }
  42. proc ::OPTRACE { task action {tags {} } } {
  43. ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category
  44. }
  45. # dispatch is generic. We specifically want to attach logging.
  46. ::vitis_log::connect_client
  47. } else {
  48. # Add dummy proc if it doesn't exist.
  49. if { [expr {[llength [info procs ::OPTRACE]] == 0}] } {
  50. proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} {
  51. # Do nothing
  52. }
  53. }
  54. }
  55. proc create_report { reportName command } {
  56. set status "."
  57. append status $reportName ".fail"
  58. if { [file exists $status] } {
  59. eval file delete [glob $status]
  60. }
  61. send_msg_id runtcl-4 info "Executing : $command"
  62. set retval [eval catch { $command } msg]
  63. if { $retval != 0 } {
  64. set fp [open $status w]
  65. close $fp
  66. send_msg_id runtcl-5 warning "$msg"
  67. }
  68. }
  69. OPTRACE "synth_1" START { ROLLUP_AUTO }
  70. OPTRACE "Creating in-memory project" START { }
  71. create_project -in_memory -part xc7z010clg400-1
  72. set_param project.singleFileAddWarning.threshold 0
  73. set_param project.compositeFile.enableAutoGeneration 0
  74. set_param synth.vivado.isSynthRun true
  75. set_property webtalk.parent_dir C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.cache/wt [current_project]
  76. set_property parent.project_path C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.xpr [current_project]
  77. set_property default_lib xil_defaultlib [current_project]
  78. set_property target_language Verilog [current_project]
  79. set_property ip_output_repo c:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.cache/ip [current_project]
  80. set_property ip_cache_permissions {read write} [current_project]
  81. OPTRACE "Creating in-memory project" END { }
  82. OPTRACE "Adding files" START { }
  83. read_vhdl -library xil_defaultlib C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd
  84. OPTRACE "Adding files" END { }
  85. # Mark all dcp files as not used in implementation to prevent them from being
  86. # stitched into the results of this synthesis run. Any black boxes in the
  87. # design are intentionally left as such for best results. Dcp files will be
  88. # stitched into the design at a later time, either when this synthesis run is
  89. # opened, or when it is stitched into a dependent implementation run.
  90. foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] {
  91. set_property used_in_implementation false $dcp
  92. }
  93. read_xdc C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc
  94. set_property used_in_implementation false [get_files C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc]
  95. set_param ips.enableIPCacheLiteLoad 1
  96. read_checkpoint -auto_incremental -incremental C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp
  97. close [open __synthesis_is_running__ w]
  98. OPTRACE "synth_design" START { }
  99. synth_design -top regler -part xc7z010clg400-1
  100. OPTRACE "synth_design" END { }
  101. if { [get_msg_config -count -severity {CRITICAL WARNING}] > 0 } {
  102. send_msg_id runtcl-6 info "Synthesis results are not added to the cache due to CRITICAL_WARNING"
  103. }
  104. OPTRACE "write_checkpoint" START { CHECKPOINT }
  105. # disable binary constraint mode for synth run checkpoints
  106. set_param constraints.enableBinaryConstraints false
  107. write_checkpoint -force -noxdef regler.dcp
  108. OPTRACE "write_checkpoint" END { }
  109. OPTRACE "synth reports" START { REPORT }
  110. create_report "synth_1_synth_report_utilization_0" "report_utilization -file regler_utilization_synth.rpt -pb regler_utilization_synth.pb"
  111. OPTRACE "synth reports" END { }
  112. file delete __synthesis_is_running__
  113. close [open __synthesis_is_complete__ w]
  114. OPTRACE "synth_1" END { }