You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

regler.vds 26KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325
  1. #-----------------------------------------------------------
  2. # Vivado v2021.2 (64-bit)
  3. # SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021
  4. # IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021
  5. # Start of session at: Mon May 23 22:58:42 2022
  6. # Process ID: 23388
  7. # Current directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1
  8. # Command line: vivado.exe -log regler.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source regler.tcl
  9. # Log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.vds
  10. # Journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1\vivado.jou
  11. # Running On: DESKTOP-PAACOM8, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 16927 MB
  12. #-----------------------------------------------------------
  13. source regler.tcl -notrace
  14. create_project: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1261.043 ; gain = 8.066
  15. Command: read_checkpoint -auto_incremental -incremental C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp
  16. INFO: [Vivado 12-5825] Read reference checkpoint from C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp for incremental synthesis
  17. INFO: [Vivado 12-7989] Please ensure there are no constraint changes
  18. Command: synth_design -top regler -part xc7z010clg400-1
  19. Starting synth_design
  20. Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010'
  21. INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010'
  22. INFO: [Device 21-403] Loading part xc7z010clg400-1
  23. WARNING: [Designutils 20-4072] Reference run did not run incremental synthesis because the design is too small; reverting to default synthesis
  24. INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate}
  25. INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 2 processes.
  26. INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes
  27. INFO: [Synth 8-7075] Helper process launched with PID 25492
  28. ---------------------------------------------------------------------------------
  29. Starting RTL Elaboration : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1261.043 ; gain = 0.000
  30. ---------------------------------------------------------------------------------
  31. INFO: [Synth 8-638] synthesizing module 'regler' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:48]
  32. WARNING: [Synth 8-6014] Unused sequential element e_k_reg was removed. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:110]
  33. WARNING: [Synth 8-6014] Unused sequential element u_var_reg was removed. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:121]
  34. INFO: [Synth 8-256] done synthesizing module 'regler' (1#1) [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:48]
  35. WARNING: [Synth 8-7129] Port TV[9] in module regler is either unconnected or has no load
  36. WARNING: [Synth 8-7129] Port TV[8] in module regler is either unconnected or has no load
  37. WARNING: [Synth 8-7129] Port TV[7] in module regler is either unconnected or has no load
  38. WARNING: [Synth 8-7129] Port TV[6] in module regler is either unconnected or has no load
  39. WARNING: [Synth 8-7129] Port TV[5] in module regler is either unconnected or has no load
  40. WARNING: [Synth 8-7129] Port TV[4] in module regler is either unconnected or has no load
  41. WARNING: [Synth 8-7129] Port TV[3] in module regler is either unconnected or has no load
  42. WARNING: [Synth 8-7129] Port TV[2] in module regler is either unconnected or has no load
  43. WARNING: [Synth 8-7129] Port TV[1] in module regler is either unconnected or has no load
  44. WARNING: [Synth 8-7129] Port TV[0] in module regler is either unconnected or has no load
  45. ---------------------------------------------------------------------------------
  46. Finished RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1261.043 ; gain = 0.000
  47. ---------------------------------------------------------------------------------
  48. ---------------------------------------------------------------------------------
  49. Start Handling Custom Attributes
  50. ---------------------------------------------------------------------------------
  51. ---------------------------------------------------------------------------------
  52. Finished Handling Custom Attributes : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 1261.043 ; gain = 0.000
  53. ---------------------------------------------------------------------------------
  54. ---------------------------------------------------------------------------------
  55. Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 1261.043 ; gain = 0.000
  56. ---------------------------------------------------------------------------------
  57. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.018 . Memory (MB): peak = 1261.043 ; gain = 0.000
  58. INFO: [Project 1-570] Preparing netlist for logic optimization
  59. Processing XDC Constraints
  60. Initializing timing engine
  61. Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc]
  62. Finished Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc]
  63. INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/regler_propImpl.xdc].
  64. Resolution: To avoid this warning, move constraints listed in [.Xil/regler_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis.
  65. Completed Processing XDC Constraints
  66. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1273.594 ; gain = 0.000
  67. INFO: [Project 1-111] Unisim Transformation Summary:
  68. No Unisim elements were transformed.
  69. Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1273.594 ; gain = 0.000
  70. WARNING: [Designutils 20-4072] Reference run did not run incremental synthesis because the design is too small; reverting to default synthesis
  71. INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate}
  72. ---------------------------------------------------------------------------------
  73. Finished Constraint Validation : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 1273.594 ; gain = 12.551
  74. ---------------------------------------------------------------------------------
  75. ---------------------------------------------------------------------------------
  76. Start Loading Part and Timing Information
  77. ---------------------------------------------------------------------------------
  78. Loading part: xc7z010clg400-1
  79. ---------------------------------------------------------------------------------
  80. Finished Loading Part and Timing Information : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 1273.594 ; gain = 12.551
  81. ---------------------------------------------------------------------------------
  82. ---------------------------------------------------------------------------------
  83. Start Applying 'set_property' XDC Constraints
  84. ---------------------------------------------------------------------------------
  85. ---------------------------------------------------------------------------------
  86. Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 1273.594 ; gain = 12.551
  87. ---------------------------------------------------------------------------------
  88. ---------------------------------------------------------------------------------
  89. Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 1273.594 ; gain = 12.551
  90. ---------------------------------------------------------------------------------
  91. ---------------------------------------------------------------------------------
  92. Start RTL Component Statistics
  93. ---------------------------------------------------------------------------------
  94. Detailed RTL Component Info :
  95. +---Adders :
  96. 2 Input 148 Bit Adders := 2
  97. 3 Input 64 Bit Adders := 1
  98. 2 Input 64 Bit Adders := 1
  99. 2 Input 20 Bit Adders := 2
  100. 2 Input 10 Bit Adders := 1
  101. +---Registers :
  102. 64 Bit Registers := 1
  103. +---Multipliers :
  104. 41x64 Multipliers := 1
  105. 10x64 Multipliers := 1
  106. +---Muxes :
  107. 2 Input 148 Bit Muxes := 2
  108. 2 Input 20 Bit Muxes := 2
  109. 2 Input 10 Bit Muxes := 1
  110. ---------------------------------------------------------------------------------
  111. Finished RTL Component Statistics
  112. ---------------------------------------------------------------------------------
  113. ---------------------------------------------------------------------------------
  114. Start Part Resource Summary
  115. ---------------------------------------------------------------------------------
  116. Part Resources:
  117. DSPs: 80 (col length:40)
  118. BRAMs: 120 (col length: RAMB18 40 RAMB36 20)
  119. ---------------------------------------------------------------------------------
  120. Finished Part Resource Summary
  121. ---------------------------------------------------------------------------------
  122. ---------------------------------------------------------------------------------
  123. Start Cross Boundary and Area Optimization
  124. ---------------------------------------------------------------------------------
  125. WARNING: [Synth 8-7080] Parallel synthesis criteria is not met
  126. DSP Report: Generating DSP I_k5, operation Mode is: (A:0xf4240)*B.
  127. DSP Report: operator I_k5 is absorbed into DSP I_k5.
  128. DSP Report: operator I_k5 is absorbed into DSP I_k5.
  129. DSP Report: Generating DSP I_k4, operation Mode is: A*B.
  130. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  131. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  132. DSP Report: Generating DSP I_k4, operation Mode is: (PCIN>>17)+A*B.
  133. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  134. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  135. DSP Report: Generating DSP I_k4, operation Mode is: A*B.
  136. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  137. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  138. DSP Report: Generating DSP I_k4, operation Mode is: PCIN+A*B.
  139. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  140. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  141. DSP Report: Generating DSP I_k4, operation Mode is: (PCIN>>17)+A*B.
  142. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  143. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  144. DSP Report: Generating DSP I_k4, operation Mode is: A*B.
  145. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  146. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  147. DSP Report: Generating DSP I_k4, operation Mode is: (PCIN>>17)+A*B.
  148. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  149. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  150. DSP Report: Generating DSP I_k4, operation Mode is: PCIN+A*B.
  151. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  152. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  153. DSP Report: Generating DSP u_reg1, operation Mode is: A*B.
  154. DSP Report: operator u_reg1 is absorbed into DSP u_reg1.
  155. DSP Report: operator u_reg1 is absorbed into DSP u_reg1.
  156. DSP Report: Generating DSP u_reg1, operation Mode is: (PCIN>>17)+A*B.
  157. DSP Report: operator u_reg1 is absorbed into DSP u_reg1.
  158. DSP Report: operator u_reg1 is absorbed into DSP u_reg1.
  159. DSP Report: Generating DSP u_reg1, operation Mode is: A*B.
  160. DSP Report: operator u_reg1 is absorbed into DSP u_reg1.
  161. DSP Report: operator u_reg1 is absorbed into DSP u_reg1.
  162. DSP Report: Generating DSP u_reg1, operation Mode is: (PCIN>>17)+A*B.
  163. DSP Report: operator u_reg1 is absorbed into DSP u_reg1.
  164. DSP Report: operator u_reg1 is absorbed into DSP u_reg1.
  165. WARNING: [Synth 8-7129] Port TV[9] in module regler is either unconnected or has no load
  166. WARNING: [Synth 8-7129] Port TV[8] in module regler is either unconnected or has no load
  167. WARNING: [Synth 8-7129] Port TV[7] in module regler is either unconnected or has no load
  168. WARNING: [Synth 8-7129] Port TV[6] in module regler is either unconnected or has no load
  169. WARNING: [Synth 8-7129] Port TV[5] in module regler is either unconnected or has no load
  170. WARNING: [Synth 8-7129] Port TV[4] in module regler is either unconnected or has no load
  171. WARNING: [Synth 8-7129] Port TV[3] in module regler is either unconnected or has no load
  172. WARNING: [Synth 8-7129] Port TV[2] in module regler is either unconnected or has no load
  173. WARNING: [Synth 8-7129] Port TV[1] in module regler is either unconnected or has no load
  174. WARNING: [Synth 8-7129] Port TV[0] in module regler is either unconnected or has no load
  175. ---------------------------------------------------------------------------------
  176. Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:28 ; elapsed = 00:00:29 . Memory (MB): peak = 1273.594 ; gain = 12.551
  177. ---------------------------------------------------------------------------------
  178. ---------------------------------------------------------------------------------
  179. Start ROM, RAM, DSP, Shift Register and Retiming Reporting
  180. ---------------------------------------------------------------------------------
  181. DSP: Preliminary Mapping Report (see note below. The ' indicates corresponding REG is set)
  182. +------------+----------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
  183. |Module Name | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG |
  184. +------------+----------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
  185. |regler | (A:0xf4240)*B | 21 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  186. |regler | A*B | 24 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  187. |regler | (PCIN>>17)+A*B | 24 | 13 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  188. |regler | A*B | 18 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  189. |regler | PCIN+A*B | 24 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  190. |regler | (PCIN>>17)+A*B | 18 | 13 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  191. |regler | A*B | 18 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  192. |regler | (PCIN>>17)+A*B | 18 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  193. |regler | PCIN+A*B | 24 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  194. |regler | A*B | 18 | 10 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  195. |regler | (PCIN>>17)+A*B | 13 | 10 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  196. |regler | A*B | 18 | 10 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  197. |regler | (PCIN>>17)+A*B | 18 | 10 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  198. +------------+----------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
  199. Note: The table above is a preliminary report that shows the DSPs inferred at the current stage of the synthesis flow. Some DSP may be reimplemented as non DSP primitives later in the synthesis flow. Multiple instantiated DSPs are reported only once.
  200. ---------------------------------------------------------------------------------
  201. Finished ROM, RAM, DSP, Shift Register and Retiming Reporting
  202. ---------------------------------------------------------------------------------
  203. ---------------------------------------------------------------------------------
  204. Start Applying XDC Timing Constraints
  205. ---------------------------------------------------------------------------------
  206. ---------------------------------------------------------------------------------
  207. Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1288.984 ; gain = 27.941
  208. ---------------------------------------------------------------------------------
  209. ---------------------------------------------------------------------------------
  210. Start Timing Optimization
  211. ---------------------------------------------------------------------------------
  212. ---------------------------------------------------------------------------------
  213. Finished Timing Optimization : Time (s): cpu = 00:00:41 ; elapsed = 00:00:41 . Memory (MB): peak = 1297.449 ; gain = 36.406
  214. ---------------------------------------------------------------------------------
  215. ---------------------------------------------------------------------------------
  216. Start Technology Mapping
  217. ---------------------------------------------------------------------------------
  218. ---------------------------------------------------------------------------------
  219. Finished Technology Mapping : Time (s): cpu = 00:00:44 ; elapsed = 00:00:45 . Memory (MB): peak = 1507.957 ; gain = 246.914
  220. ---------------------------------------------------------------------------------
  221. ---------------------------------------------------------------------------------
  222. Start IO Insertion
  223. ---------------------------------------------------------------------------------
  224. ---------------------------------------------------------------------------------
  225. Start Flattening Before IO Insertion
  226. ---------------------------------------------------------------------------------
  227. ---------------------------------------------------------------------------------
  228. Finished Flattening Before IO Insertion
  229. ---------------------------------------------------------------------------------
  230. ---------------------------------------------------------------------------------
  231. Start Final Netlist Cleanup
  232. ---------------------------------------------------------------------------------
  233. ---------------------------------------------------------------------------------
  234. Finished Final Netlist Cleanup
  235. ---------------------------------------------------------------------------------
  236. ---------------------------------------------------------------------------------
  237. Finished IO Insertion : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160
  238. ---------------------------------------------------------------------------------
  239. ---------------------------------------------------------------------------------
  240. Start Renaming Generated Instances
  241. ---------------------------------------------------------------------------------
  242. ---------------------------------------------------------------------------------
  243. Finished Renaming Generated Instances : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160
  244. ---------------------------------------------------------------------------------
  245. ---------------------------------------------------------------------------------
  246. Start Rebuilding User Hierarchy
  247. ---------------------------------------------------------------------------------
  248. ---------------------------------------------------------------------------------
  249. Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160
  250. ---------------------------------------------------------------------------------
  251. ---------------------------------------------------------------------------------
  252. Start Renaming Generated Ports
  253. ---------------------------------------------------------------------------------
  254. ---------------------------------------------------------------------------------
  255. Finished Renaming Generated Ports : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160
  256. ---------------------------------------------------------------------------------
  257. ---------------------------------------------------------------------------------
  258. Start Handling Custom Attributes
  259. ---------------------------------------------------------------------------------
  260. ---------------------------------------------------------------------------------
  261. Finished Handling Custom Attributes : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160
  262. ---------------------------------------------------------------------------------
  263. ---------------------------------------------------------------------------------
  264. Start Renaming Generated Nets
  265. ---------------------------------------------------------------------------------
  266. ---------------------------------------------------------------------------------
  267. Finished Renaming Generated Nets : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160
  268. ---------------------------------------------------------------------------------
  269. ---------------------------------------------------------------------------------
  270. Start Writing Synthesis Report
  271. ---------------------------------------------------------------------------------
  272. Report BlackBoxes:
  273. +-+--------------+----------+
  274. | |BlackBox name |Instances |
  275. +-+--------------+----------+
  276. +-+--------------+----------+
  277. Report Cell Usage:
  278. +------+--------+------+
  279. | |Cell |Count |
  280. +------+--------+------+
  281. |1 |BUFG | 1|
  282. |2 |CARRY4 | 1613|
  283. |3 |DSP48E1 | 13|
  284. |4 |LUT1 | 165|
  285. |5 |LUT2 | 1000|
  286. |6 |LUT3 | 2638|
  287. |7 |LUT4 | 2484|
  288. |8 |LUT5 | 799|
  289. |9 |LUT6 | 3246|
  290. |10 |FDRE | 128|
  291. |11 |IBUF | 159|
  292. |12 |OBUF | 64|
  293. +------+--------+------+
  294. ---------------------------------------------------------------------------------
  295. Finished Writing Synthesis Report : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160
  296. ---------------------------------------------------------------------------------
  297. Synthesis finished with 0 errors, 0 critical warnings and 11 warnings.
  298. Synthesis Optimization Runtime : Time (s): cpu = 00:00:41 ; elapsed = 00:00:49 . Memory (MB): peak = 1517.203 ; gain = 243.609
  299. Synthesis Optimization Complete : Time (s): cpu = 00:00:51 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160
  300. INFO: [Project 1-571] Translating synthesized netlist
  301. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.125 . Memory (MB): peak = 1517.203 ; gain = 0.000
  302. INFO: [Netlist 29-17] Analyzing 1626 Unisim elements for replacement
  303. INFO: [Netlist 29-28] Unisim Transformation completed in 1 CPU seconds
  304. WARNING: [Netlist 29-101] Netlist 'regler' is not ideal for floorplanning, since the cellview 'regler' contains a large number of primitives. Please consider enabling hierarchy in synthesis if you want to do floorplanning.
  305. INFO: [Project 1-570] Preparing netlist for logic optimization
  306. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
  307. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.005 . Memory (MB): peak = 1517.203 ; gain = 0.000
  308. INFO: [Project 1-111] Unisim Transformation Summary:
  309. No Unisim elements were transformed.
  310. Synth Design complete, checksum: b5ea81b7
  311. INFO: [Common 17-83] Releasing license: Synthesis
  312. 21 Infos, 26 Warnings, 0 Critical Warnings and 0 Errors encountered.
  313. synth_design completed successfully
  314. synth_design: Time (s): cpu = 00:00:58 ; elapsed = 00:00:59 . Memory (MB): peak = 1517.203 ; gain = 256.160
  315. INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp' has been generated.
  316. INFO: [runtcl-4] Executing : report_utilization -file regler_utilization_synth.rpt -pb regler_utilization_synth.pb
  317. INFO: [Common 17-206] Exiting Vivado at Mon May 23 22:59:52 2022...