You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

regler_utilization_synth.rpt 7.8KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181
  1. Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
  2. -------------------------------------------------------------------------------------------------------
  3. | Tool Version : Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021
  4. | Date : Mon May 23 22:59:52 2022
  5. | Host : DESKTOP-PAACOM8 running 64-bit major release (build 9200)
  6. | Command : report_utilization -file regler_utilization_synth.rpt -pb regler_utilization_synth.pb
  7. | Design : regler
  8. | Device : xc7z010clg400-1
  9. | Speed File : -1
  10. | Design State : Synthesized
  11. -------------------------------------------------------------------------------------------------------
  12. Utilization Design Information
  13. Table of Contents
  14. -----------------
  15. 1. Slice Logic
  16. 1.1 Summary of Registers by Type
  17. 2. Memory
  18. 3. DSP
  19. 4. IO and GT Specific
  20. 5. Clocking
  21. 6. Specific Feature
  22. 7. Primitives
  23. 8. Black Boxes
  24. 9. Instantiated Netlists
  25. 1. Slice Logic
  26. --------------
  27. +-------------------------+------+-------+------------+-----------+-------+
  28. | Site Type | Used | Fixed | Prohibited | Available | Util% |
  29. +-------------------------+------+-------+------------+-----------+-------+
  30. | Slice LUTs* | 7673 | 0 | 0 | 17600 | 43.60 |
  31. | LUT as Logic | 7673 | 0 | 0 | 17600 | 43.60 |
  32. | LUT as Memory | 0 | 0 | 0 | 6000 | 0.00 |
  33. | Slice Registers | 128 | 0 | 0 | 35200 | 0.36 |
  34. | Register as Flip Flop | 128 | 0 | 0 | 35200 | 0.36 |
  35. | Register as Latch | 0 | 0 | 0 | 35200 | 0.00 |
  36. | F7 Muxes | 0 | 0 | 0 | 8800 | 0.00 |
  37. | F8 Muxes | 0 | 0 | 0 | 4400 | 0.00 |
  38. +-------------------------+------+-------+------------+-----------+-------+
  39. * Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count.
  40. 1.1 Summary of Registers by Type
  41. --------------------------------
  42. +-------+--------------+-------------+--------------+
  43. | Total | Clock Enable | Synchronous | Asynchronous |
  44. +-------+--------------+-------------+--------------+
  45. | 0 | _ | - | - |
  46. | 0 | _ | - | Set |
  47. | 0 | _ | - | Reset |
  48. | 0 | _ | Set | - |
  49. | 0 | _ | Reset | - |
  50. | 0 | Yes | - | - |
  51. | 0 | Yes | - | Set |
  52. | 0 | Yes | - | Reset |
  53. | 0 | Yes | Set | - |
  54. | 128 | Yes | Reset | - |
  55. +-------+--------------+-------------+--------------+
  56. 2. Memory
  57. ---------
  58. +----------------+------+-------+------------+-----------+-------+
  59. | Site Type | Used | Fixed | Prohibited | Available | Util% |
  60. +----------------+------+-------+------------+-----------+-------+
  61. | Block RAM Tile | 0 | 0 | 0 | 60 | 0.00 |
  62. | RAMB36/FIFO* | 0 | 0 | 0 | 60 | 0.00 |
  63. | RAMB18 | 0 | 0 | 0 | 120 | 0.00 |
  64. +----------------+------+-------+------------+-----------+-------+
  65. * Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
  66. 3. DSP
  67. ------
  68. +----------------+------+-------+------------+-----------+-------+
  69. | Site Type | Used | Fixed | Prohibited | Available | Util% |
  70. +----------------+------+-------+------------+-----------+-------+
  71. | DSPs | 13 | 0 | 0 | 80 | 16.25 |
  72. | DSP48E1 only | 13 | | | | |
  73. +----------------+------+-------+------------+-----------+-------+
  74. 4. IO and GT Specific
  75. ---------------------
  76. +-----------------------------+------+-------+------------+-----------+--------+
  77. | Site Type | Used | Fixed | Prohibited | Available | Util% |
  78. +-----------------------------+------+-------+------------+-----------+--------+
  79. | Bonded IOB | 223 | 0 | 0 | 100 | 223.00 |
  80. | Bonded IPADs | 0 | 0 | 0 | 2 | 0.00 |
  81. | Bonded IOPADs | 0 | 0 | 0 | 130 | 0.00 |
  82. | PHY_CONTROL | 0 | 0 | 0 | 2 | 0.00 |
  83. | PHASER_REF | 0 | 0 | 0 | 2 | 0.00 |
  84. | OUT_FIFO | 0 | 0 | 0 | 8 | 0.00 |
  85. | IN_FIFO | 0 | 0 | 0 | 8 | 0.00 |
  86. | IDELAYCTRL | 0 | 0 | 0 | 2 | 0.00 |
  87. | IBUFDS | 0 | 0 | 0 | 96 | 0.00 |
  88. | PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 8 | 0.00 |
  89. | PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 8 | 0.00 |
  90. | IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 100 | 0.00 |
  91. | ILOGIC | 0 | 0 | 0 | 100 | 0.00 |
  92. | OLOGIC | 0 | 0 | 0 | 100 | 0.00 |
  93. +-----------------------------+------+-------+------------+-----------+--------+
  94. 5. Clocking
  95. -----------
  96. +------------+------+-------+------------+-----------+-------+
  97. | Site Type | Used | Fixed | Prohibited | Available | Util% |
  98. +------------+------+-------+------------+-----------+-------+
  99. | BUFGCTRL | 1 | 0 | 0 | 32 | 3.13 |
  100. | BUFIO | 0 | 0 | 0 | 8 | 0.00 |
  101. | MMCME2_ADV | 0 | 0 | 0 | 2 | 0.00 |
  102. | PLLE2_ADV | 0 | 0 | 0 | 2 | 0.00 |
  103. | BUFMRCE | 0 | 0 | 0 | 4 | 0.00 |
  104. | BUFHCE | 0 | 0 | 0 | 48 | 0.00 |
  105. | BUFR | 0 | 0 | 0 | 8 | 0.00 |
  106. +------------+------+-------+------------+-----------+-------+
  107. 6. Specific Feature
  108. -------------------
  109. +-------------+------+-------+------------+-----------+-------+
  110. | Site Type | Used | Fixed | Prohibited | Available | Util% |
  111. +-------------+------+-------+------------+-----------+-------+
  112. | BSCANE2 | 0 | 0 | 0 | 4 | 0.00 |
  113. | CAPTUREE2 | 0 | 0 | 0 | 1 | 0.00 |
  114. | DNA_PORT | 0 | 0 | 0 | 1 | 0.00 |
  115. | EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 |
  116. | FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 |
  117. | ICAPE2 | 0 | 0 | 0 | 2 | 0.00 |
  118. | STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 |
  119. | XADC | 0 | 0 | 0 | 1 | 0.00 |
  120. +-------------+------+-------+------------+-----------+-------+
  121. 7. Primitives
  122. -------------
  123. +----------+------+---------------------+
  124. | Ref Name | Used | Functional Category |
  125. +----------+------+---------------------+
  126. | LUT6 | 3246 | LUT |
  127. | LUT3 | 2638 | LUT |
  128. | LUT4 | 2484 | LUT |
  129. | CARRY4 | 1613 | CarryLogic |
  130. | LUT2 | 1000 | LUT |
  131. | LUT5 | 799 | LUT |
  132. | LUT1 | 165 | LUT |
  133. | IBUF | 159 | IO |
  134. | FDRE | 128 | Flop & Latch |
  135. | OBUF | 64 | IO |
  136. | DSP48E1 | 13 | Block Arithmetic |
  137. | BUFG | 1 | Clock |
  138. +----------+------+---------------------+
  139. 8. Black Boxes
  140. --------------
  141. +----------+------+
  142. | Ref Name | Used |
  143. +----------+------+
  144. 9. Instantiated Netlists
  145. ------------------------
  146. +----------+------+
  147. | Ref Name | Used |
  148. +----------+------+