You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

runme.log 25KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324
  1. *** Running vivado
  2. with args -log regler.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source regler.tcl
  3. ****** Vivado v2021.2 (64-bit)
  4. **** SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021
  5. **** IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021
  6. ** Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
  7. source regler.tcl -notrace
  8. create_project: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1261.043 ; gain = 8.066
  9. Command: read_checkpoint -auto_incremental -incremental C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp
  10. INFO: [Vivado 12-5825] Read reference checkpoint from C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp for incremental synthesis
  11. INFO: [Vivado 12-7989] Please ensure there are no constraint changes
  12. Command: synth_design -top regler -part xc7z010clg400-1
  13. Starting synth_design
  14. Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010'
  15. INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010'
  16. INFO: [Device 21-403] Loading part xc7z010clg400-1
  17. WARNING: [Designutils 20-4072] Reference run did not run incremental synthesis because the design is too small; reverting to default synthesis
  18. INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate}
  19. INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 2 processes.
  20. INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes
  21. INFO: [Synth 8-7075] Helper process launched with PID 25492
  22. ---------------------------------------------------------------------------------
  23. Starting RTL Elaboration : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1261.043 ; gain = 0.000
  24. ---------------------------------------------------------------------------------
  25. INFO: [Synth 8-638] synthesizing module 'regler' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:48]
  26. WARNING: [Synth 8-6014] Unused sequential element e_k_reg was removed. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:110]
  27. WARNING: [Synth 8-6014] Unused sequential element u_var_reg was removed. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:121]
  28. INFO: [Synth 8-256] done synthesizing module 'regler' (1#1) [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:48]
  29. WARNING: [Synth 8-7129] Port TV[9] in module regler is either unconnected or has no load
  30. WARNING: [Synth 8-7129] Port TV[8] in module regler is either unconnected or has no load
  31. WARNING: [Synth 8-7129] Port TV[7] in module regler is either unconnected or has no load
  32. WARNING: [Synth 8-7129] Port TV[6] in module regler is either unconnected or has no load
  33. WARNING: [Synth 8-7129] Port TV[5] in module regler is either unconnected or has no load
  34. WARNING: [Synth 8-7129] Port TV[4] in module regler is either unconnected or has no load
  35. WARNING: [Synth 8-7129] Port TV[3] in module regler is either unconnected or has no load
  36. WARNING: [Synth 8-7129] Port TV[2] in module regler is either unconnected or has no load
  37. WARNING: [Synth 8-7129] Port TV[1] in module regler is either unconnected or has no load
  38. WARNING: [Synth 8-7129] Port TV[0] in module regler is either unconnected or has no load
  39. ---------------------------------------------------------------------------------
  40. Finished RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1261.043 ; gain = 0.000
  41. ---------------------------------------------------------------------------------
  42. ---------------------------------------------------------------------------------
  43. Start Handling Custom Attributes
  44. ---------------------------------------------------------------------------------
  45. ---------------------------------------------------------------------------------
  46. Finished Handling Custom Attributes : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 1261.043 ; gain = 0.000
  47. ---------------------------------------------------------------------------------
  48. ---------------------------------------------------------------------------------
  49. Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 1261.043 ; gain = 0.000
  50. ---------------------------------------------------------------------------------
  51. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.018 . Memory (MB): peak = 1261.043 ; gain = 0.000
  52. INFO: [Project 1-570] Preparing netlist for logic optimization
  53. Processing XDC Constraints
  54. Initializing timing engine
  55. Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc]
  56. Finished Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc]
  57. INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/regler_propImpl.xdc].
  58. Resolution: To avoid this warning, move constraints listed in [.Xil/regler_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis.
  59. Completed Processing XDC Constraints
  60. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1273.594 ; gain = 0.000
  61. INFO: [Project 1-111] Unisim Transformation Summary:
  62. No Unisim elements were transformed.
  63. Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1273.594 ; gain = 0.000
  64. WARNING: [Designutils 20-4072] Reference run did not run incremental synthesis because the design is too small; reverting to default synthesis
  65. INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate}
  66. ---------------------------------------------------------------------------------
  67. Finished Constraint Validation : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 1273.594 ; gain = 12.551
  68. ---------------------------------------------------------------------------------
  69. ---------------------------------------------------------------------------------
  70. Start Loading Part and Timing Information
  71. ---------------------------------------------------------------------------------
  72. Loading part: xc7z010clg400-1
  73. ---------------------------------------------------------------------------------
  74. Finished Loading Part and Timing Information : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 1273.594 ; gain = 12.551
  75. ---------------------------------------------------------------------------------
  76. ---------------------------------------------------------------------------------
  77. Start Applying 'set_property' XDC Constraints
  78. ---------------------------------------------------------------------------------
  79. ---------------------------------------------------------------------------------
  80. Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 1273.594 ; gain = 12.551
  81. ---------------------------------------------------------------------------------
  82. ---------------------------------------------------------------------------------
  83. Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 1273.594 ; gain = 12.551
  84. ---------------------------------------------------------------------------------
  85. ---------------------------------------------------------------------------------
  86. Start RTL Component Statistics
  87. ---------------------------------------------------------------------------------
  88. Detailed RTL Component Info :
  89. +---Adders :
  90. 2 Input 148 Bit Adders := 2
  91. 3 Input 64 Bit Adders := 1
  92. 2 Input 64 Bit Adders := 1
  93. 2 Input 20 Bit Adders := 2
  94. 2 Input 10 Bit Adders := 1
  95. +---Registers :
  96. 64 Bit Registers := 1
  97. +---Multipliers :
  98. 41x64 Multipliers := 1
  99. 10x64 Multipliers := 1
  100. +---Muxes :
  101. 2 Input 148 Bit Muxes := 2
  102. 2 Input 20 Bit Muxes := 2
  103. 2 Input 10 Bit Muxes := 1
  104. ---------------------------------------------------------------------------------
  105. Finished RTL Component Statistics
  106. ---------------------------------------------------------------------------------
  107. ---------------------------------------------------------------------------------
  108. Start Part Resource Summary
  109. ---------------------------------------------------------------------------------
  110. Part Resources:
  111. DSPs: 80 (col length:40)
  112. BRAMs: 120 (col length: RAMB18 40 RAMB36 20)
  113. ---------------------------------------------------------------------------------
  114. Finished Part Resource Summary
  115. ---------------------------------------------------------------------------------
  116. ---------------------------------------------------------------------------------
  117. Start Cross Boundary and Area Optimization
  118. ---------------------------------------------------------------------------------
  119. WARNING: [Synth 8-7080] Parallel synthesis criteria is not met
  120. DSP Report: Generating DSP I_k5, operation Mode is: (A:0xf4240)*B.
  121. DSP Report: operator I_k5 is absorbed into DSP I_k5.
  122. DSP Report: operator I_k5 is absorbed into DSP I_k5.
  123. DSP Report: Generating DSP I_k4, operation Mode is: A*B.
  124. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  125. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  126. DSP Report: Generating DSP I_k4, operation Mode is: (PCIN>>17)+A*B.
  127. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  128. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  129. DSP Report: Generating DSP I_k4, operation Mode is: A*B.
  130. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  131. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  132. DSP Report: Generating DSP I_k4, operation Mode is: PCIN+A*B.
  133. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  134. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  135. DSP Report: Generating DSP I_k4, operation Mode is: (PCIN>>17)+A*B.
  136. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  137. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  138. DSP Report: Generating DSP I_k4, operation Mode is: A*B.
  139. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  140. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  141. DSP Report: Generating DSP I_k4, operation Mode is: (PCIN>>17)+A*B.
  142. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  143. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  144. DSP Report: Generating DSP I_k4, operation Mode is: PCIN+A*B.
  145. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  146. DSP Report: operator I_k4 is absorbed into DSP I_k4.
  147. DSP Report: Generating DSP u_reg1, operation Mode is: A*B.
  148. DSP Report: operator u_reg1 is absorbed into DSP u_reg1.
  149. DSP Report: operator u_reg1 is absorbed into DSP u_reg1.
  150. DSP Report: Generating DSP u_reg1, operation Mode is: (PCIN>>17)+A*B.
  151. DSP Report: operator u_reg1 is absorbed into DSP u_reg1.
  152. DSP Report: operator u_reg1 is absorbed into DSP u_reg1.
  153. DSP Report: Generating DSP u_reg1, operation Mode is: A*B.
  154. DSP Report: operator u_reg1 is absorbed into DSP u_reg1.
  155. DSP Report: operator u_reg1 is absorbed into DSP u_reg1.
  156. DSP Report: Generating DSP u_reg1, operation Mode is: (PCIN>>17)+A*B.
  157. DSP Report: operator u_reg1 is absorbed into DSP u_reg1.
  158. DSP Report: operator u_reg1 is absorbed into DSP u_reg1.
  159. WARNING: [Synth 8-7129] Port TV[9] in module regler is either unconnected or has no load
  160. WARNING: [Synth 8-7129] Port TV[8] in module regler is either unconnected or has no load
  161. WARNING: [Synth 8-7129] Port TV[7] in module regler is either unconnected or has no load
  162. WARNING: [Synth 8-7129] Port TV[6] in module regler is either unconnected or has no load
  163. WARNING: [Synth 8-7129] Port TV[5] in module regler is either unconnected or has no load
  164. WARNING: [Synth 8-7129] Port TV[4] in module regler is either unconnected or has no load
  165. WARNING: [Synth 8-7129] Port TV[3] in module regler is either unconnected or has no load
  166. WARNING: [Synth 8-7129] Port TV[2] in module regler is either unconnected or has no load
  167. WARNING: [Synth 8-7129] Port TV[1] in module regler is either unconnected or has no load
  168. WARNING: [Synth 8-7129] Port TV[0] in module regler is either unconnected or has no load
  169. ---------------------------------------------------------------------------------
  170. Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:28 ; elapsed = 00:00:29 . Memory (MB): peak = 1273.594 ; gain = 12.551
  171. ---------------------------------------------------------------------------------
  172. ---------------------------------------------------------------------------------
  173. Start ROM, RAM, DSP, Shift Register and Retiming Reporting
  174. ---------------------------------------------------------------------------------
  175. DSP: Preliminary Mapping Report (see note below. The ' indicates corresponding REG is set)
  176. +------------+----------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
  177. |Module Name | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG |
  178. +------------+----------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
  179. |regler | (A:0xf4240)*B | 21 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  180. |regler | A*B | 24 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  181. |regler | (PCIN>>17)+A*B | 24 | 13 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  182. |regler | A*B | 18 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  183. |regler | PCIN+A*B | 24 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  184. |regler | (PCIN>>17)+A*B | 18 | 13 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  185. |regler | A*B | 18 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  186. |regler | (PCIN>>17)+A*B | 18 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  187. |regler | PCIN+A*B | 24 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  188. |regler | A*B | 18 | 10 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  189. |regler | (PCIN>>17)+A*B | 13 | 10 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  190. |regler | A*B | 18 | 10 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  191. |regler | (PCIN>>17)+A*B | 18 | 10 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 |
  192. +------------+----------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
  193. Note: The table above is a preliminary report that shows the DSPs inferred at the current stage of the synthesis flow. Some DSP may be reimplemented as non DSP primitives later in the synthesis flow. Multiple instantiated DSPs are reported only once.
  194. ---------------------------------------------------------------------------------
  195. Finished ROM, RAM, DSP, Shift Register and Retiming Reporting
  196. ---------------------------------------------------------------------------------
  197. ---------------------------------------------------------------------------------
  198. Start Applying XDC Timing Constraints
  199. ---------------------------------------------------------------------------------
  200. ---------------------------------------------------------------------------------
  201. Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1288.984 ; gain = 27.941
  202. ---------------------------------------------------------------------------------
  203. ---------------------------------------------------------------------------------
  204. Start Timing Optimization
  205. ---------------------------------------------------------------------------------
  206. ---------------------------------------------------------------------------------
  207. Finished Timing Optimization : Time (s): cpu = 00:00:41 ; elapsed = 00:00:41 . Memory (MB): peak = 1297.449 ; gain = 36.406
  208. ---------------------------------------------------------------------------------
  209. ---------------------------------------------------------------------------------
  210. Start Technology Mapping
  211. ---------------------------------------------------------------------------------
  212. ---------------------------------------------------------------------------------
  213. Finished Technology Mapping : Time (s): cpu = 00:00:44 ; elapsed = 00:00:45 . Memory (MB): peak = 1507.957 ; gain = 246.914
  214. ---------------------------------------------------------------------------------
  215. ---------------------------------------------------------------------------------
  216. Start IO Insertion
  217. ---------------------------------------------------------------------------------
  218. ---------------------------------------------------------------------------------
  219. Start Flattening Before IO Insertion
  220. ---------------------------------------------------------------------------------
  221. ---------------------------------------------------------------------------------
  222. Finished Flattening Before IO Insertion
  223. ---------------------------------------------------------------------------------
  224. ---------------------------------------------------------------------------------
  225. Start Final Netlist Cleanup
  226. ---------------------------------------------------------------------------------
  227. ---------------------------------------------------------------------------------
  228. Finished Final Netlist Cleanup
  229. ---------------------------------------------------------------------------------
  230. ---------------------------------------------------------------------------------
  231. Finished IO Insertion : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160
  232. ---------------------------------------------------------------------------------
  233. ---------------------------------------------------------------------------------
  234. Start Renaming Generated Instances
  235. ---------------------------------------------------------------------------------
  236. ---------------------------------------------------------------------------------
  237. Finished Renaming Generated Instances : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160
  238. ---------------------------------------------------------------------------------
  239. ---------------------------------------------------------------------------------
  240. Start Rebuilding User Hierarchy
  241. ---------------------------------------------------------------------------------
  242. ---------------------------------------------------------------------------------
  243. Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160
  244. ---------------------------------------------------------------------------------
  245. ---------------------------------------------------------------------------------
  246. Start Renaming Generated Ports
  247. ---------------------------------------------------------------------------------
  248. ---------------------------------------------------------------------------------
  249. Finished Renaming Generated Ports : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160
  250. ---------------------------------------------------------------------------------
  251. ---------------------------------------------------------------------------------
  252. Start Handling Custom Attributes
  253. ---------------------------------------------------------------------------------
  254. ---------------------------------------------------------------------------------
  255. Finished Handling Custom Attributes : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160
  256. ---------------------------------------------------------------------------------
  257. ---------------------------------------------------------------------------------
  258. Start Renaming Generated Nets
  259. ---------------------------------------------------------------------------------
  260. ---------------------------------------------------------------------------------
  261. Finished Renaming Generated Nets : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160
  262. ---------------------------------------------------------------------------------
  263. ---------------------------------------------------------------------------------
  264. Start Writing Synthesis Report
  265. ---------------------------------------------------------------------------------
  266. Report BlackBoxes:
  267. +-+--------------+----------+
  268. | |BlackBox name |Instances |
  269. +-+--------------+----------+
  270. +-+--------------+----------+
  271. Report Cell Usage:
  272. +------+--------+------+
  273. | |Cell |Count |
  274. +------+--------+------+
  275. |1 |BUFG | 1|
  276. |2 |CARRY4 | 1613|
  277. |3 |DSP48E1 | 13|
  278. |4 |LUT1 | 165|
  279. |5 |LUT2 | 1000|
  280. |6 |LUT3 | 2638|
  281. |7 |LUT4 | 2484|
  282. |8 |LUT5 | 799|
  283. |9 |LUT6 | 3246|
  284. |10 |FDRE | 128|
  285. |11 |IBUF | 159|
  286. |12 |OBUF | 64|
  287. +------+--------+------+
  288. ---------------------------------------------------------------------------------
  289. Finished Writing Synthesis Report : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160
  290. ---------------------------------------------------------------------------------
  291. Synthesis finished with 0 errors, 0 critical warnings and 11 warnings.
  292. Synthesis Optimization Runtime : Time (s): cpu = 00:00:41 ; elapsed = 00:00:49 . Memory (MB): peak = 1517.203 ; gain = 243.609
  293. Synthesis Optimization Complete : Time (s): cpu = 00:00:51 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160
  294. INFO: [Project 1-571] Translating synthesized netlist
  295. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.125 . Memory (MB): peak = 1517.203 ; gain = 0.000
  296. INFO: [Netlist 29-17] Analyzing 1626 Unisim elements for replacement
  297. INFO: [Netlist 29-28] Unisim Transformation completed in 1 CPU seconds
  298. WARNING: [Netlist 29-101] Netlist 'regler' is not ideal for floorplanning, since the cellview 'regler' contains a large number of primitives. Please consider enabling hierarchy in synthesis if you want to do floorplanning.
  299. INFO: [Project 1-570] Preparing netlist for logic optimization
  300. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
  301. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.005 . Memory (MB): peak = 1517.203 ; gain = 0.000
  302. INFO: [Project 1-111] Unisim Transformation Summary:
  303. No Unisim elements were transformed.
  304. Synth Design complete, checksum: b5ea81b7
  305. INFO: [Common 17-83] Releasing license: Synthesis
  306. 21 Infos, 26 Warnings, 0 Critical Warnings and 0 Errors encountered.
  307. synth_design completed successfully
  308. synth_design: Time (s): cpu = 00:00:58 ; elapsed = 00:00:59 . Memory (MB): peak = 1517.203 ; gain = 256.160
  309. INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp' has been generated.
  310. INFO: [runtcl-4] Executing : report_utilization -file regler_utilization_synth.rpt -pb regler_utilization_synth.pb
  311. INFO: [Common 17-206] Exiting Vivado at Mon May 23 22:59:52 2022...