You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

elaborate.log 911B

1234567891011121314151617
  1. Vivado Simulator v2021.2
  2. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  3. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  4. Using 2 slave threads.
  5. Starting static elaboration
  6. Completed static elaboration
  7. Starting simulation data flow analysis
  8. Completed simulation data flow analysis
  9. Time Resolution for simulation is 1ps
  10. Compiling package std.standard
  11. Compiling package std.textio
  12. Compiling package ieee.std_logic_1164
  13. Compiling package ieee.numeric_std
  14. Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default]
  15. Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default]
  16. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  17. Built simulation snapshot pwm_test_db_behav