You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

xsim.ini.bak 28KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476
  1. std=$RDI_DATADIR/xsim/vhdl/std
  2. ieee=$RDI_DATADIR/xsim/vhdl/ieee
  3. ieee_proposed=$RDI_DATADIR/xsim/vhdl/ieee_proposed
  4. vl=$RDI_DATADIR/xsim/vhdl/vl
  5. synopsys=$RDI_DATADIR/xsim/vhdl/synopsys
  6. uvm=$RDI_DATADIR/xsim/system_verilog/uvm
  7. secureip=$RDI_DATADIR/xsim/verilog/secureip
  8. unisim=$RDI_DATADIR/xsim/vhdl/unisim
  9. unimacro=$RDI_DATADIR/xsim/vhdl/unimacro
  10. unifast=$RDI_DATADIR/xsim/vhdl/unifast
  11. unisims_ver=$RDI_DATADIR/xsim/verilog/unisims_ver
  12. unimacro_ver=$RDI_DATADIR/xsim/verilog/unimacro_ver
  13. unifast_ver=$RDI_DATADIR/xsim/verilog/unifast_ver
  14. simprims_ver=$RDI_DATADIR/xsim/verilog/simprims_ver
  15. hdmi_acr_ctrl_v1_0_0=$RDI_DATADIR/xsim/ip/hdmi_acr_ctrl_v1_0_0
  16. compact_gt_v1_0_11=$RDI_DATADIR/xsim/ip/compact_gt_v1_0_11
  17. xbip_addsub_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_addsub_v3_0_6
  18. oran_radio_if_v2_1_0=$RDI_DATADIR/xsim/ip/oran_radio_if_v2_1_0
  19. fifo_generator_v13_1_4=$RDI_DATADIR/xsim/ip/fifo_generator_v13_1_4
  20. tmr_comparator_v1_0_4=$RDI_DATADIR/xsim/ip/tmr_comparator_v1_0_4
  21. mipi_dsi_tx_ctrl_v1_0_7=$RDI_DATADIR/xsim/ip/mipi_dsi_tx_ctrl_v1_0_7
  22. axis_dbg_sync_v1_0_0=$RDI_DATADIR/xsim/ip/axis_dbg_sync_v1_0_0
  23. mrmac_v1_3_3=$RDI_DATADIR/xsim/ip/mrmac_v1_3_3
  24. ernic_v3_1_1=$RDI_DATADIR/xsim/ip/ernic_v3_1_1
  25. axi_uartlite_v2_0_29=$RDI_DATADIR/xsim/ip/axi_uartlite_v2_0_29
  26. noc_na_v1_0_0=$RDI_DATADIR/xsim/ip/noc_na_v1_0_0
  27. pcie_qdma_mailbox_v1_0_0=$RDI_DATADIR/xsim/ip/pcie_qdma_mailbox_v1_0_0
  28. axi_crossbar_v2_1_26=$RDI_DATADIR/xsim/ip/axi_crossbar_v2_1_26
  29. microblaze_v9_5_4=$RDI_DATADIR/xsim/ip/microblaze_v9_5_4
  30. dcmac_v1_2_0=$RDI_DATADIR/xsim/ip/dcmac_v1_2_0
  31. axi_emc_v3_0_25=$RDI_DATADIR/xsim/ip/axi_emc_v3_0_25
  32. axi_register_slice_v2_1_25=$RDI_DATADIR/xsim/ip/axi_register_slice_v2_1_25
  33. xdfe_equalizer_v1_0_1=$RDI_DATADIR/xsim/ip/xdfe_equalizer_v1_0_1
  34. v_hdmi_tx_v3_0_0=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v3_0_0
  35. axi_remapper_rx_v1_0_0=$RDI_DATADIR/xsim/ip/axi_remapper_rx_v1_0_0
  36. axi_tg_sc_v1_0=$RDI_DATADIR/xsim/ip/axi_tg_sc_v1_0
  37. ai_pl_trig=$RDI_DATADIR/xsim/ip/ai_pl_trig
  38. xbip_dsp48_addsub_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_addsub_v3_0_6
  39. xdfe_cc_mixer_v1_0_1=$RDI_DATADIR/xsim/ip/xdfe_cc_mixer_v1_0_1
  40. fast_adapter_v1_0_2=$RDI_DATADIR/xsim/ip/fast_adapter_v1_0_2
  41. mem_tg_v1_0_7=$RDI_DATADIR/xsim/ip/mem_tg_v1_0_7
  42. cpm5_v1_0_5=$RDI_DATADIR/xsim/ip/cpm5_v1_0_5
  43. mult_gen_v12_0_17=$RDI_DATADIR/xsim/ip/mult_gen_v12_0_17
  44. qdma_v4_0_8=$RDI_DATADIR/xsim/ip/qdma_v4_0_8
  45. xfft_v9_1_7=$RDI_DATADIR/xsim/ip/xfft_v9_1_7
  46. noc_hbm_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_v1_0_0
  47. axi_pcie3_v3_0_19=$RDI_DATADIR/xsim/ip/axi_pcie3_v3_0_19
  48. dbg_intf=$RDI_DATADIR/xsim/ip/dbg_intf
  49. system_cache_v5_0_7=$RDI_DATADIR/xsim/ip/system_cache_v5_0_7
  50. axi_tft_v2_0_24=$RDI_DATADIR/xsim/ip/axi_tft_v2_0_24
  51. rs_toolbox_v9_0_8=$RDI_DATADIR/xsim/ip/rs_toolbox_v9_0_8
  52. v_csc_v1_1_4=$RDI_DATADIR/xsim/ip/v_csc_v1_1_4
  53. axi_sideband_util_v1_0_9=$RDI_DATADIR/xsim/ip/axi_sideband_util_v1_0_9
  54. axis_combiner_v1_1_23=$RDI_DATADIR/xsim/ip/axis_combiner_v1_1_23
  55. sim_trig_v1_0_7=$RDI_DATADIR/xsim/ip/sim_trig_v1_0_7
  56. xtlm_simple_interconnect_v1_0=$RDI_DATADIR/xsim/ip/xtlm_simple_interconnect_v1_0
  57. pci64_v5_0_11=$RDI_DATADIR/xsim/ip/pci64_v5_0_11
  58. emb_mem_gen_v1_0_5=$RDI_DATADIR/xsim/ip/emb_mem_gen_v1_0_5
  59. mdm_v3_2=$RDI_DATADIR/xsim/ip/mdm_v3_2
  60. tmr_voter_v1_0_3=$RDI_DATADIR/xsim/ip/tmr_voter_v1_0_3
  61. xbip_dsp48_multadd_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_multadd_v3_0_6
  62. axi_timebase_wdt_v3_0_17=$RDI_DATADIR/xsim/ip/axi_timebase_wdt_v3_0_17
  63. roe_framer_v3_0_2=$RDI_DATADIR/xsim/ip/roe_framer_v3_0_2
  64. v_vid_in_axi4s_v4_0_9=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v4_0_9
  65. c_mux_bus_v12_0_6=$RDI_DATADIR/xsim/ip/c_mux_bus_v12_0_6
  66. lte_3gpp_channel_estimator_v2_0_19=$RDI_DATADIR/xsim/ip/lte_3gpp_channel_estimator_v2_0_19
  67. axi_epc_v2_0_28=$RDI_DATADIR/xsim/ip/axi_epc_v2_0_28
  68. noc_ncrb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_ncrb_v1_0_0
  69. v_hdmi_rx1_v1_0_2=$RDI_DATADIR/xsim/ip/v_hdmi_rx1_v1_0_2
  70. xtlm_trace_model_v1_0=$RDI_DATADIR/xsim/ip/xtlm_trace_model_v1_0
  71. axis_ila_pp_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_pp_v1_0_0
  72. axis_clock_converter_v1_1_26=$RDI_DATADIR/xsim/ip/axis_clock_converter_v1_1_26
  73. processing_system7_vip_v1_0_13=$RDI_DATADIR/xsim/ip/processing_system7_vip_v1_0_13
  74. c_counter_binary_v12_0_14=$RDI_DATADIR/xsim/ip/c_counter_binary_v12_0_14
  75. mailbox_v2_1_15=$RDI_DATADIR/xsim/ip/mailbox_v2_1_15
  76. ten_gig_eth_mac_v15_1_10=$RDI_DATADIR/xsim/ip/ten_gig_eth_mac_v15_1_10
  77. floating_point_v7_0_19=$RDI_DATADIR/xsim/ip/floating_point_v7_0_19
  78. v_smpte_uhdsdi_tx_v1_0_1=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_tx_v1_0_1
  79. rld3_pl_phy_v1_0_0=$RDI_DATADIR/xsim/ip/rld3_pl_phy_v1_0_0
  80. xdfe_cc_filter_v1_0_1=$RDI_DATADIR/xsim/ip/xdfe_cc_filter_v1_0_1
  81. audio_formatter_v1_0_7=$RDI_DATADIR/xsim/ip/audio_formatter_v1_0_7
  82. gtwizard_ultrascale_v1_6_13=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_6_13
  83. qdriv_pl_v1_0_6=$RDI_DATADIR/xsim/ip/qdriv_pl_v1_0_6
  84. audio_clock_recovery_unit_v1_0_2=$RDI_DATADIR/xsim/ip/audio_clock_recovery_unit_v1_0_2
  85. ddr4_pl_phy_v1_0_0=$RDI_DATADIR/xsim/ip/ddr4_pl_phy_v1_0_0
  86. v_vid_sdi_tx_bridge_v2_0_0=$RDI_DATADIR/xsim/ip/v_vid_sdi_tx_bridge_v2_0_0
  87. mem_pl_v1_0_0=$RDI_DATADIR/xsim/ip/mem_pl_v1_0_0
  88. versal_cips_ps_vip_v1_0_3=$RDI_DATADIR/xsim/ip/versal_cips_ps_vip_v1_0_3
  89. axi_perf_mon_v5_0_27=$RDI_DATADIR/xsim/ip/axi_perf_mon_v5_0_27
  90. dfx_controller_v1_0_2=$RDI_DATADIR/xsim/ip/dfx_controller_v1_0_2
  91. lib_bmg_v1_0_14=$RDI_DATADIR/xsim/ip/lib_bmg_v1_0_14
  92. amm_axi_bridge_v1_0_11=$RDI_DATADIR/xsim/ip/amm_axi_bridge_v1_0_11
  93. sem_ultra_v3_1_21=$RDI_DATADIR/xsim/ip/sem_ultra_v3_1_21
  94. processing_system7_v5_5_6=$RDI_DATADIR/xsim/ip/processing_system7_v5_5_6
  95. axi_intc_v4_1=$RDI_DATADIR/xsim/ip/axi_intc_v4_1
  96. fec_5g_common_v1_1_1=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_1_1
  97. fifo_generator_v13_0_6=$RDI_DATADIR/xsim/ip/fifo_generator_v13_0_6
  98. i2s_transmitter_v1_0_5=$RDI_DATADIR/xsim/ip/i2s_transmitter_v1_0_5
  99. v_sdi_rx_vid_bridge_v2_0_0=$RDI_DATADIR/xsim/ip/v_sdi_rx_vid_bridge_v2_0_0
  100. v_axi4s_remap_v1_1_4=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_1_4
  101. axi_bram_ctrl_v4_0_14=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_0_14
  102. noc_nsu_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nsu_v1_0_0
  103. tri_mode_ethernet_mac_v9_0_20=$RDI_DATADIR/xsim/ip/tri_mode_ethernet_mac_v9_0_20
  104. etrnic_v1_1_4=$RDI_DATADIR/xsim/ip/etrnic_v1_1_4
  105. ieee802d3_400g_rs_fec_v2_0_6=$RDI_DATADIR/xsim/ip/ieee802d3_400g_rs_fec_v2_0_6
  106. tmr_inject_v1_0_4=$RDI_DATADIR/xsim/ip/tmr_inject_v1_0_4
  107. sim_qdma_cpp_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_cpp_v1_0
  108. sim_clk_gen_v1_0_3=$RDI_DATADIR/xsim/ip/sim_clk_gen_v1_0_3
  109. flexo_100g_rs_fec_v1_0_20=$RDI_DATADIR/xsim/ip/flexo_100g_rs_fec_v1_0_20
  110. axi_dma_v7_1_26=$RDI_DATADIR/xsim/ip/axi_dma_v7_1_26
  111. g975_efec_i4_v1_0_18=$RDI_DATADIR/xsim/ip/g975_efec_i4_v1_0_18
  112. lib_pkg_v1_0_2=$RDI_DATADIR/xsim/ip/lib_pkg_v1_0_2
  113. mrmac_v1_5_0=$RDI_DATADIR/xsim/ip/mrmac_v1_5_0
  114. emu_perf_common_v1_0=$RDI_DATADIR/xsim/ip/emu_perf_common_v1_0
  115. axi_usb2_device_v5_0_26=$RDI_DATADIR/xsim/ip/axi_usb2_device_v5_0_26
  116. clk_gen_sim_v1_0_2=$RDI_DATADIR/xsim/ip/clk_gen_sim_v1_0_2
  117. pcie_dma_versal_v2_0_7=$RDI_DATADIR/xsim/ip/pcie_dma_versal_v2_0_7
  118. xbip_counter_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_counter_v3_0_6
  119. ieee802d3_25g_rs_fec_v1_0_22=$RDI_DATADIR/xsim/ip/ieee802d3_25g_rs_fec_v1_0_22
  120. v_hdmi_tx1_v1_0_2=$RDI_DATADIR/xsim/ip/v_hdmi_tx1_v1_0_2
  121. c_gate_bit_v12_0_6=$RDI_DATADIR/xsim/ip/c_gate_bit_v12_0_6
  122. axis_vio_v1_0_6=$RDI_DATADIR/xsim/ip/axis_vio_v1_0_6
  123. sim_xdma_cpp_v1=$RDI_DATADIR/xsim/ip/sim_xdma_cpp_v1
  124. noc_nmu_phydir_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nmu_phydir_v1_0_0
  125. pcie_axi4lite_tap_v1_0_1=$RDI_DATADIR/xsim/ip/pcie_axi4lite_tap_v1_0_1
  126. axi_chip2chip_v5_0_14=$RDI_DATADIR/xsim/ip/axi_chip2chip_v5_0_14
  127. v_hdmi_rx_v3_0_0=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v3_0_0
  128. gigantic_mux=$RDI_DATADIR/xsim/ip/gigantic_mux
  129. ethernet_1_10_25g_v2_7_2=$RDI_DATADIR/xsim/ip/ethernet_1_10_25g_v2_7_2
  130. mutex_v2_1_11=$RDI_DATADIR/xsim/ip/mutex_v2_1_11
  131. stm_v1_0_0=$RDI_DATADIR/xsim/ip/stm_v1_0_0
  132. c_compare_v12_0_6=$RDI_DATADIR/xsim/ip/c_compare_v12_0_6
  133. v_dual_splitter_v1_0_9=$RDI_DATADIR/xsim/ip/v_dual_splitter_v1_0_9
  134. lmb_v10_v3_0=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0
  135. ptp_1588_timer_syncer_v2_0_1=$RDI_DATADIR/xsim/ip/ptp_1588_timer_syncer_v2_0_1
  136. vby1hs_v1_0_1=$RDI_DATADIR/xsim/ip/vby1hs_v1_0_1
  137. axis_subset_converter_v1_1_25=$RDI_DATADIR/xsim/ip/axis_subset_converter_v1_1_25
  138. c_mux_bit_v12_0_6=$RDI_DATADIR/xsim/ip/c_mux_bit_v12_0_6
  139. clk_vip_v1_0_2=$RDI_DATADIR/xsim/ip/clk_vip_v1_0_2
  140. xtlm_ipc_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ipc_v1_0
  141. switch_core_top_v1_0_10=$RDI_DATADIR/xsim/ip/switch_core_top_v1_0_10
  142. noc_nps4_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps4_v1_0_0
  143. pr_decoupler_v1_0_10=$RDI_DATADIR/xsim/ip/pr_decoupler_v1_0_10
  144. rwd_tlmmodel_v1=$RDI_DATADIR/xsim/ip/rwd_tlmmodel_v1
  145. audio_tpg_v1_0_0=$RDI_DATADIR/xsim/ip/audio_tpg_v1_0_0
  146. v_tpg_v8_0_8=$RDI_DATADIR/xsim/ip/v_tpg_v8_0_8
  147. mpegtsmux_v1_1_3=$RDI_DATADIR/xsim/ip/mpegtsmux_v1_1_3
  148. ptp_1588_timer_syncer_v1_0_2=$RDI_DATADIR/xsim/ip/ptp_1588_timer_syncer_v1_0_2
  149. ieee802d3_rs_fec_v2_0_14=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v2_0_14
  150. ai_pl=$RDI_DATADIR/xsim/ip/ai_pl
  151. vid_edid_v1_0_0=$RDI_DATADIR/xsim/ip/vid_edid_v1_0_0
  152. axi_clock_converter_v2_1_24=$RDI_DATADIR/xsim/ip/axi_clock_converter_v2_1_24
  153. v_smpte_uhdsdi_rx_v1_0_1=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_rx_v1_0_1
  154. axi_datamover_v5_1_27=$RDI_DATADIR/xsim/ip/axi_datamover_v5_1_27
  155. axis_data_fifo_v2_0_7=$RDI_DATADIR/xsim/ip/axis_data_fifo_v2_0_7
  156. tcc_decoder_3gppmm_v2_0_22=$RDI_DATADIR/xsim/ip/tcc_decoder_3gppmm_v2_0_22
  157. tcc_encoder_3gpplte_v4_0_16=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpplte_v4_0_16
  158. stm_v1_0=$RDI_DATADIR/xsim/ip/stm_v1_0
  159. v_deinterlacer_v5_1_0=$RDI_DATADIR/xsim/ip/v_deinterlacer_v5_1_0
  160. lte_pucch_receiver_v2_0_20=$RDI_DATADIR/xsim/ip/lte_pucch_receiver_v2_0_20
  161. lmb_bram_if_cntlr_v4_0_20=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0_20
  162. videoaxi4s_bridge_v1_0_5=$RDI_DATADIR/xsim/ip/videoaxi4s_bridge_v1_0_5
  163. jesd204_v7_2_14=$RDI_DATADIR/xsim/ip/jesd204_v7_2_14
  164. vfb_v1_0_19=$RDI_DATADIR/xsim/ip/vfb_v1_0_19
  165. lte_3gpp_mimo_encoder_v4_0_16=$RDI_DATADIR/xsim/ip/lte_3gpp_mimo_encoder_v4_0_16
  166. uhdsdi_gt_v2_0_7=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_0_7
  167. fir_compiler_v5_2_6=$RDI_DATADIR/xsim/ip/fir_compiler_v5_2_6
  168. v_mix_v5_1_4=$RDI_DATADIR/xsim/ip/v_mix_v5_1_4
  169. dfx_bitstream_monitor_v1_0_1=$RDI_DATADIR/xsim/ip/dfx_bitstream_monitor_v1_0_1
  170. trace_hub_v1_1_0=$RDI_DATADIR/xsim/ip/trace_hub_v1_1_0
  171. g709_fec_v2_4_4=$RDI_DATADIR/xsim/ip/g709_fec_v2_4_4
  172. gmii_to_rgmii_v4_1_3=$RDI_DATADIR/xsim/ip/gmii_to_rgmii_v4_1_3
  173. viterbi_v9_1_12=$RDI_DATADIR/xsim/ip/viterbi_v9_1_12
  174. lmb_v10_v3_0_11=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0_11
  175. emc_common_v3_0_5=$RDI_DATADIR/xsim/ip/emc_common_v3_0_5
  176. noc_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0
  177. axi_traffic_gen_v3_0_11=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v3_0_11
  178. v_smpte_sdi_v3_0_9=$RDI_DATADIR/xsim/ip/v_smpte_sdi_v3_0_9
  179. polar_v1_0_9=$RDI_DATADIR/xsim/ip/polar_v1_0_9
  180. lte_ul_channel_decoder_v4_0_18=$RDI_DATADIR/xsim/ip/lte_ul_channel_decoder_v4_0_18
  181. soft_ecc_proxy_v1_0_1=$RDI_DATADIR/xsim/ip/soft_ecc_proxy_v1_0_1
  182. axi_apb_bridge_v3_0_17=$RDI_DATADIR/xsim/ip/axi_apb_bridge_v3_0_17
  183. ldpc_v2_0_9=$RDI_DATADIR/xsim/ip/ldpc_v2_0_9
  184. axi_fifo_mm_s_v4_2_7=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_2_7
  185. axis_interconnect_v1_1_19=$RDI_DATADIR/xsim/ip/axis_interconnect_v1_1_19
  186. sim_ddr_v1_0=$RDI_DATADIR/xsim/ip/sim_ddr_v1_0
  187. v_axi4s_remap_v1_0_18=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_0_18
  188. gig_ethernet_pcs_pma_v16_2_6=$RDI_DATADIR/xsim/ip/gig_ethernet_pcs_pma_v16_2_6
  189. xfft_v7_2_12=$RDI_DATADIR/xsim/ip/xfft_v7_2_12
  190. axi_ethernetlite_v3_0_24=$RDI_DATADIR/xsim/ip/axi_ethernetlite_v3_0_24
  191. axi_sg_v4_1_14=$RDI_DATADIR/xsim/ip/axi_sg_v4_1_14
  192. xdfe_resampler_v1_0_1=$RDI_DATADIR/xsim/ip/xdfe_resampler_v1_0_1
  193. qdriv_pl_phy_v1_0_0=$RDI_DATADIR/xsim/ip/qdriv_pl_phy_v1_0_0
  194. mipi_csi2_rx_ctrl_v1_0_8=$RDI_DATADIR/xsim/ip/mipi_csi2_rx_ctrl_v1_0_8
  195. xbip_dsp48_wrapper_v3_0_4=$RDI_DATADIR/xsim/ip/xbip_dsp48_wrapper_v3_0_4
  196. axi_bram_ctrl_v4_1_6=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_1_6
  197. lte_rach_detector_v3_1_10=$RDI_DATADIR/xsim/ip/lte_rach_detector_v3_1_10
  198. axis_protocol_checker_v2_0_9=$RDI_DATADIR/xsim/ip/axis_protocol_checker_v2_0_9
  199. vitis_deadlock_detector_v1_0_0=$RDI_DATADIR/xsim/ip/vitis_deadlock_detector_v1_0_0
  200. v_uhdsdi_audio_v2_0_5=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v2_0_5
  201. cmac_v2_6_6=$RDI_DATADIR/xsim/ip/cmac_v2_6_6
  202. pcie_jtag_v1_0_0=$RDI_DATADIR/xsim/ip/pcie_jtag_v1_0_0
  203. ieee802d3_200g_rs_fec_v2_0_4=$RDI_DATADIR/xsim/ip/ieee802d3_200g_rs_fec_v2_0_4
  204. cic_compiler_v4_0_15=$RDI_DATADIR/xsim/ip/cic_compiler_v4_0_15
  205. tsn_endpoint_ethernet_mac_block_v1_0_10=$RDI_DATADIR/xsim/ip/tsn_endpoint_ethernet_mac_block_v1_0_10
  206. av_pat_gen_v1_0_1=$RDI_DATADIR/xsim/ip/av_pat_gen_v1_0_1
  207. axi_c2c_v1_0_2=$RDI_DATADIR/xsim/ip/axi_c2c_v1_0_2
  208. axi_mcdma_v1_1_6=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_1_6
  209. dfx_decoupler_v1_0_3=$RDI_DATADIR/xsim/ip/dfx_decoupler_v1_0_3
  210. axis_switch_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_switch_sc_v1_1
  211. axis_dwidth_converter_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_sc_v1_1
  212. axi4svideo_bridge_v1_0_13=$RDI_DATADIR/xsim/ip/axi4svideo_bridge_v1_0_13
  213. xbip_dsp48_mult_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_mult_v3_0_6
  214. l_ethernet_v3_2_4=$RDI_DATADIR/xsim/ip/l_ethernet_v3_2_4
  215. dds_compiler_v6_0_21=$RDI_DATADIR/xsim/ip/dds_compiler_v6_0_21
  216. v_frmbuf_wr_v2_3_0=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_3_0
  217. ai_noc=$RDI_DATADIR/xsim/ip/ai_noc
  218. sim_xdma_sc_v1=$RDI_DATADIR/xsim/ip/sim_xdma_sc_v1
  219. hdcp_keymngmt_blk_v1_0_0=$RDI_DATADIR/xsim/ip/hdcp_keymngmt_blk_v1_0_0
  220. generic_baseblocks_v2_1_0=$RDI_DATADIR/xsim/ip/generic_baseblocks_v2_1_0
  221. fc32_rs_fec_v1_0_20=$RDI_DATADIR/xsim/ip/fc32_rs_fec_v1_0_20
  222. v_mix_v5_2_2=$RDI_DATADIR/xsim/ip/v_mix_v5_2_2
  223. pc_cfr_v7_0_0=$RDI_DATADIR/xsim/ip/pc_cfr_v7_0_0
  224. axi_remapper_tx_v1_0_0=$RDI_DATADIR/xsim/ip/axi_remapper_tx_v1_0_0
  225. xdma_v4_1_14=$RDI_DATADIR/xsim/ip/xdma_v4_1_14
  226. mammoth_transcode_v1_0_0=$RDI_DATADIR/xsim/ip/mammoth_transcode_v1_0_0
  227. axi_firewall_v1_2_0=$RDI_DATADIR/xsim/ip/axi_firewall_v1_2_0
  228. spdif_v2_0_25=$RDI_DATADIR/xsim/ip/spdif_v2_0_25
  229. ahblite_axi_bridge_v3_0_20=$RDI_DATADIR/xsim/ip/ahblite_axi_bridge_v3_0_20
  230. xbip_bram18k_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_bram18k_v3_0_6
  231. noc_nps6_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps6_v1_0_0
  232. axi_iic_v2_1_1=$RDI_DATADIR/xsim/ip/axi_iic_v2_1_1
  233. displayport_v8_1_4=$RDI_DATADIR/xsim/ip/displayport_v8_1_4
  234. dft_v4_2_2=$RDI_DATADIR/xsim/ip/dft_v4_2_2
  235. video_frame_crc_v1_0_4=$RDI_DATADIR/xsim/ip/video_frame_crc_v1_0_4
  236. v_vid_gt_bridge_v1_0_4=$RDI_DATADIR/xsim/ip/v_vid_gt_bridge_v1_0_4
  237. div_gen_v5_1_18=$RDI_DATADIR/xsim/ip/div_gen_v5_1_18
  238. axi_pcie_v2_9_6=$RDI_DATADIR/xsim/ip/axi_pcie_v2_9_6
  239. axis_mem_v1_0_0=$RDI_DATADIR/xsim/ip/axis_mem_v1_0_0
  240. axi_ahblite_bridge_v3_0_22=$RDI_DATADIR/xsim/ip/axi_ahblite_bridge_v3_0_22
  241. blk_mem_gen_v8_3_6=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_3_6
  242. accelerator_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/accelerator_monitor_v1_1_0
  243. v_vid_in_axi4s_v5_0_0=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v5_0_0
  244. g709_rs_decoder_v2_2_9=$RDI_DATADIR/xsim/ip/g709_rs_decoder_v2_2_9
  245. axi_protocol_converter_v2_1_25=$RDI_DATADIR/xsim/ip/axi_protocol_converter_v2_1_25
  246. rs_decoder_v9_0_17=$RDI_DATADIR/xsim/ip/rs_decoder_v9_0_17
  247. hdmi_gt_controller_v1_0_6=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v1_0_6
  248. cordic_v6_0_17=$RDI_DATADIR/xsim/ip/cordic_v6_0_17
  249. axi_memory_init_v1_0_6=$RDI_DATADIR/xsim/ip/axi_memory_init_v1_0_6
  250. axi_cdma_v4_1_25=$RDI_DATADIR/xsim/ip/axi_cdma_v4_1_25
  251. pc_cfr_v6_4_2=$RDI_DATADIR/xsim/ip/pc_cfr_v6_4_2
  252. ten_gig_eth_pcs_pma_v6_0_21=$RDI_DATADIR/xsim/ip/ten_gig_eth_pcs_pma_v6_0_21
  253. remote_port_c_v4=$RDI_DATADIR/xsim/ip/remote_port_c_v4
  254. axi_msg_v1_0_7=$RDI_DATADIR/xsim/ip/axi_msg_v1_0_7
  255. nvme_tc_v3_0_0=$RDI_DATADIR/xsim/ip/nvme_tc_v3_0_0
  256. v_frmbuf_rd_v2_2_4=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_2_4
  257. trace_s2mm_v1_2_0=$RDI_DATADIR/xsim/ip/trace_s2mm_v1_2_0
  258. microblaze_mcs_v2_3_6=$RDI_DATADIR/xsim/ip/microblaze_mcs_v2_3_6
  259. mipi_dphy_v4_3_3=$RDI_DATADIR/xsim/ip/mipi_dphy_v4_3_3
  260. ats_switch_v1_0_4=$RDI_DATADIR/xsim/ip/ats_switch_v1_0_4
  261. axi_vfifo_ctrl_v2_0_27=$RDI_DATADIR/xsim/ip/axi_vfifo_ctrl_v2_0_27
  262. cpm4_v1_0_5=$RDI_DATADIR/xsim/ip/cpm4_v1_0_5
  263. v_tc_v6_2_3=$RDI_DATADIR/xsim/ip/v_tc_v6_2_3
  264. v_dp_axi4s_vid_out_v1_0_3=$RDI_DATADIR/xsim/ip/v_dp_axi4s_vid_out_v1_0_3
  265. axis_switch_v1_1_25=$RDI_DATADIR/xsim/ip/axis_switch_v1_1_25
  266. axis_dwidth_converter_v1_1_24=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_v1_1_24
  267. lte_3gpp_mimo_decoder_v3_0_17=$RDI_DATADIR/xsim/ip/lte_3gpp_mimo_decoder_v3_0_17
  268. multi_channel_25g_rs_fec_v1_0_15=$RDI_DATADIR/xsim/ip/multi_channel_25g_rs_fec_v1_0_15
  269. axi_lite_ipif_v3_0=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0
  270. jtag_axi=$RDI_DATADIR/xsim/ip/jtag_axi
  271. fit_timer_v2_0_10=$RDI_DATADIR/xsim/ip/fit_timer_v2_0_10
  272. dist_mem_gen_v8_0_13=$RDI_DATADIR/xsim/ip/dist_mem_gen_v8_0_13
  273. convolution_v9_0_15=$RDI_DATADIR/xsim/ip/convolution_v9_0_15
  274. v_axi4s_vid_out_v4_0_13=$RDI_DATADIR/xsim/ip/v_axi4s_vid_out_v4_0_13
  275. v_uhdsdi_vidgen_v1_0_1=$RDI_DATADIR/xsim/ip/v_uhdsdi_vidgen_v1_0_1
  276. ll_compress_v1_0_0=$RDI_DATADIR/xsim/ip/ll_compress_v1_0_0
  277. axis_mu_v1_0_0=$RDI_DATADIR/xsim/ip/axis_mu_v1_0_0
  278. v_warp_filter_v1_0_2=$RDI_DATADIR/xsim/ip/v_warp_filter_v1_0_2
  279. hdcp22_cipher_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp22_cipher_v1_0_3
  280. axis_register_slice_v1_1_25=$RDI_DATADIR/xsim/ip/axis_register_slice_v1_1_25
  281. blk_mem_gen_v8_4_5=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_4_5
  282. pl_fileio_v1_0_0=$RDI_DATADIR/xsim/ip/pl_fileio_v1_0_0
  283. v_vscaler_v1_1_4=$RDI_DATADIR/xsim/ip/v_vscaler_v1_1_4
  284. xbip_pipe_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_pipe_v3_0_6
  285. aurora_8b10b_versal_v1_0_1=$RDI_DATADIR/xsim/ip/aurora_8b10b_versal_v1_0_1
  286. lib_srl_fifo_v1_0_2=$RDI_DATADIR/xsim/ip/lib_srl_fifo_v1_0_2
  287. tmr_sem_v1_0_20=$RDI_DATADIR/xsim/ip/tmr_sem_v1_0_20
  288. hdcp22_rng_v1_0_1=$RDI_DATADIR/xsim/ip/hdcp22_rng_v1_0_1
  289. util_idelay_ctrl_v1_0_2=$RDI_DATADIR/xsim/ip/util_idelay_ctrl_v1_0_2
  290. mdm_v3_2_22=$RDI_DATADIR/xsim/ip/mdm_v3_2_22
  291. noc_npp_rptr_v1_0_0=$RDI_DATADIR/xsim/ip/noc_npp_rptr_v1_0_0
  292. an_lt_v1_0_5=$RDI_DATADIR/xsim/ip/an_lt_v1_0_5
  293. v_frmbuf_rd_v2_3_0=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_3_0
  294. axis_cap_ctrl_v1_0_0=$RDI_DATADIR/xsim/ip/axis_cap_ctrl_v1_0_0
  295. vid_phy_controller_v2_2_12=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_2_12
  296. dsp_macro_v1_0_2=$RDI_DATADIR/xsim/ip/dsp_macro_v1_0_2
  297. axi_jtag_v1_0_0=$RDI_DATADIR/xsim/ip/axi_jtag_v1_0_0
  298. lte_fft_v2_1_5=$RDI_DATADIR/xsim/ip/lte_fft_v2_1_5
  299. can_v5_0_28=$RDI_DATADIR/xsim/ip/can_v5_0_28
  300. axis_ila_adv_trig_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_adv_trig_v1_0_0
  301. c_accum_v12_0_14=$RDI_DATADIR/xsim/ip/c_accum_v12_0_14
  302. axi_interface_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/axi_interface_monitor_v1_1_0
  303. usxgmii_v1_2_4=$RDI_DATADIR/xsim/ip/usxgmii_v1_2_4
  304. bsip_v1_1_0=$RDI_DATADIR/xsim/ip/bsip_v1_1_0
  305. c_addsub_v12_0_14=$RDI_DATADIR/xsim/ip/c_addsub_v12_0_14
  306. v_vcresampler_v1_1_4=$RDI_DATADIR/xsim/ip/v_vcresampler_v1_1_4
  307. axi_utils_v2_0_6=$RDI_DATADIR/xsim/ip/axi_utils_v2_0_6
  308. zynq_ultra_ps_e_vip_v1_0_11=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_vip_v1_0_11
  309. bs_mux_v1_0_0=$RDI_DATADIR/xsim/ip/bs_mux_v1_0_0
  310. v_demosaic_v1_1_4=$RDI_DATADIR/xsim/ip/v_demosaic_v1_1_4
  311. dft_v4_0_16=$RDI_DATADIR/xsim/ip/dft_v4_0_16
  312. xpm_cdc_gen_v1_0_0=$RDI_DATADIR/xsim/ip/xpm_cdc_gen_v1_0_0
  313. axi_data_fifo_v2_1_24=$RDI_DATADIR/xsim/ip/axi_data_fifo_v2_1_24
  314. ilknf_v1_0_0=$RDI_DATADIR/xsim/ip/ilknf_v1_0_0
  315. axis_ila_txns_cntr_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_txns_cntr_v1_0_0
  316. axi_tg_lib=$RDI_DATADIR/xsim/ip/axi_tg_lib
  317. v_tc_v6_1_13=$RDI_DATADIR/xsim/ip/v_tc_v6_1_13
  318. xlconcat_v2_1_4=$RDI_DATADIR/xsim/ip/xlconcat_v2_1_4
  319. versal_cips_v3_1_0=$RDI_DATADIR/xsim/ip/versal_cips_v3_1_0
  320. adc_dac_if_phy_v1_0_0=$RDI_DATADIR/xsim/ip/adc_dac_if_phy_v1_0_0
  321. i2s_receiver_v1_0_5=$RDI_DATADIR/xsim/ip/i2s_receiver_v1_0_5
  322. remote_port_sc_v4=$RDI_DATADIR/xsim/ip/remote_port_sc_v4
  323. lmb_bram_if_cntlr_v4_0=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0
  324. rst_vip_v1_0_4=$RDI_DATADIR/xsim/ip/rst_vip_v1_0_4
  325. iomodule_v3_0=$RDI_DATADIR/xsim/ip/iomodule_v3_0
  326. axis_broadcaster_v1_1_24=$RDI_DATADIR/xsim/ip/axis_broadcaster_v1_1_24
  327. xtlm=$RDI_DATADIR/xsim/ip/xtlm
  328. axis_itct_v1_0_0=$RDI_DATADIR/xsim/ip/axis_itct_v1_0_0
  329. axi_tlm_ext_v1_0=$RDI_DATADIR/xsim/ip/axi_tlm_ext_v1_0
  330. icap_arb_v1_0_0=$RDI_DATADIR/xsim/ip/icap_arb_v1_0_0
  331. dcmac_v1_1_0=$RDI_DATADIR/xsim/ip/dcmac_v1_1_0
  332. interlaken_v2_4_10=$RDI_DATADIR/xsim/ip/interlaken_v2_4_10
  333. axi_mm2s_mapper_v1_1_24=$RDI_DATADIR/xsim/ip/axi_mm2s_mapper_v1_1_24
  334. v_frmbuf_wr_v2_2_4=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_2_4
  335. xsdbm_v3_0_0=$RDI_DATADIR/xsim/ip/xsdbm_v3_0_0
  336. cmpy_v6_0_20=$RDI_DATADIR/xsim/ip/cmpy_v6_0_20
  337. axi_protocol_checker_v2_0_11=$RDI_DATADIR/xsim/ip/axi_protocol_checker_v2_0_11
  338. shell_utils_addr_remap_v1_0_4=$RDI_DATADIR/xsim/ip/shell_utils_addr_remap_v1_0_4
  339. util_reduced_logic_v2_0_4=$RDI_DATADIR/xsim/ip/util_reduced_logic_v2_0_4
  340. axi_firewall_v1_1_4=$RDI_DATADIR/xsim/ip/axi_firewall_v1_1_4
  341. xxv_ethernet_v4_0_2=$RDI_DATADIR/xsim/ip/xxv_ethernet_v4_0_2
  342. axi_vip_v1_1_11=$RDI_DATADIR/xsim/ip/axi_vip_v1_1_11
  343. axi_lite_ipif_v3_0_4=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0_4
  344. hdcp22_cipher_dp_v1_0_0=$RDI_DATADIR/xsim/ip/hdcp22_cipher_dp_v1_0_0
  345. xpm=$RDI_DATADIR/xsim/ip/xpm
  346. perf_axi_tg_v1_0_7=$RDI_DATADIR/xsim/ip/perf_axi_tg_v1_0_7
  347. ieee802d3_50g_rs_fec_v1_0_18=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v1_0_18
  348. g975_efec_i7_v2_0_18=$RDI_DATADIR/xsim/ip/g975_efec_i7_v2_0_18
  349. displayport_v7_0_0=$RDI_DATADIR/xsim/ip/displayport_v7_0_0
  350. lte_dl_channel_encoder_v4_0_3=$RDI_DATADIR/xsim/ip/lte_dl_channel_encoder_v4_0_3
  351. axi_timer_v2_0_27=$RDI_DATADIR/xsim/ip/axi_timer_v2_0_27
  352. tmr_manager_v1_0_8=$RDI_DATADIR/xsim/ip/tmr_manager_v1_0_8
  353. axi_gpio_v2_0_27=$RDI_DATADIR/xsim/ip/axi_gpio_v2_0_27
  354. displayport_v9_0_4=$RDI_DATADIR/xsim/ip/displayport_v9_0_4
  355. xtlm_ap_ctrl_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ap_ctrl_v1_0
  356. picxo=$RDI_DATADIR/xsim/ip/picxo
  357. noc_nps_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps_v1_0_0
  358. srio_gen2_v4_1_13=$RDI_DATADIR/xsim/ip/srio_gen2_v4_1_13
  359. av_pat_gen_v2_0_0=$RDI_DATADIR/xsim/ip/av_pat_gen_v2_0_0
  360. xbip_accum_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_accum_v3_0_6
  361. lib_cdc_v1_0_2=$RDI_DATADIR/xsim/ip/lib_cdc_v1_0_2
  362. hdcp_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp_v1_0_3
  363. axis_dbg_stub_v1_0_0=$RDI_DATADIR/xsim/ip/axis_dbg_stub_v1_0_0
  364. lte_fft_v2_0_21=$RDI_DATADIR/xsim/ip/lte_fft_v2_0_21
  365. sem_v4_1_13=$RDI_DATADIR/xsim/ip/sem_v4_1_13
  366. axis_data_fifo_v1_1_26=$RDI_DATADIR/xsim/ip/axis_data_fifo_v1_1_26
  367. axi4stream_vip_v1_1_11=$RDI_DATADIR/xsim/ip/axi4stream_vip_v1_1_11
  368. vid_phy_controller_v2_1_12=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_1_12
  369. axis_ila_intf_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_intf_v1_0_0
  370. microblaze_v11_0_8=$RDI_DATADIR/xsim/ip/microblaze_v11_0_8
  371. v_gamma_lut_v1_1_4=$RDI_DATADIR/xsim/ip/v_gamma_lut_v1_1_4
  372. axi_amm_bridge_v1_0_15=$RDI_DATADIR/xsim/ip/axi_amm_bridge_v1_0_15
  373. lut_buffer_v2_0_0=$RDI_DATADIR/xsim/ip/lut_buffer_v2_0_0
  374. g709_rs_encoder_v2_2_7=$RDI_DATADIR/xsim/ip/g709_rs_encoder_v2_2_7
  375. smartconnect_v1_0=$RDI_DATADIR/xsim/ip/smartconnect_v1_0
  376. advanced_io_wizard_v1_0_6=$RDI_DATADIR/xsim/ip/advanced_io_wizard_v1_0_6
  377. sid_v8_0_16=$RDI_DATADIR/xsim/ip/sid_v8_0_16
  378. common_cpp_v1_0=$RDI_DATADIR/xsim/ip/common_cpp_v1_0
  379. axi_hwicap_v3_0_29=$RDI_DATADIR/xsim/ip/axi_hwicap_v3_0_29
  380. tcc_decoder_3gpplte_v3_0_6=$RDI_DATADIR/xsim/ip/tcc_decoder_3gpplte_v3_0_6
  381. v_smpte_uhdsdi_v1_0_9=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_v1_0_9
  382. util_vector_logic_v2_0_1=$RDI_DATADIR/xsim/ip/util_vector_logic_v2_0_1
  383. tcc_encoder_3gpp_v5_0_17=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpp_v5_0_17
  384. v_tpg_v8_2_0=$RDI_DATADIR/xsim/ip/v_tpg_v8_2_0
  385. fir_compiler_v7_2_17=$RDI_DATADIR/xsim/ip/fir_compiler_v7_2_17
  386. cpri_v8_11_9=$RDI_DATADIR/xsim/ip/cpri_v8_11_9
  387. fifo_generator_v13_2_6=$RDI_DATADIR/xsim/ip/fifo_generator_v13_2_6
  388. v_letterbox_v1_1_4=$RDI_DATADIR/xsim/ip/v_letterbox_v1_1_4
  389. in_system_ibert_v1_0_15=$RDI_DATADIR/xsim/ip/in_system_ibert_v1_0_15
  390. ieee802d3_clause74_fec_v1_0_12=$RDI_DATADIR/xsim/ip/ieee802d3_clause74_fec_v1_0_12
  391. xscl=$RDI_DATADIR/xsim/ip/xscl
  392. ecc_v2_0_13=$RDI_DATADIR/xsim/ip/ecc_v2_0_13
  393. mipi_csi2_tx_ctrl_v1_0_4=$RDI_DATADIR/xsim/ip/mipi_csi2_tx_ctrl_v1_0_4
  394. iomodule_v3_1_7=$RDI_DATADIR/xsim/ip/iomodule_v3_1_7
  395. xdfe_fft_v1_0_1=$RDI_DATADIR/xsim/ip/xdfe_fft_v1_0_1
  396. axi_interconnect_v1_7_19=$RDI_DATADIR/xsim/ip/axi_interconnect_v1_7_19
  397. noc_nidb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nidb_v1_0_0
  398. axi_dwidth_converter_v2_1_25=$RDI_DATADIR/xsim/ip/axi_dwidth_converter_v2_1_25
  399. ddr4_pl_v1_0_7=$RDI_DATADIR/xsim/ip/ddr4_pl_v1_0_7
  400. xlslice_v1_0_2=$RDI_DATADIR/xsim/ip/xlslice_v1_0_2
  401. hbm_phyio_control_v1_0_0=$RDI_DATADIR/xsim/ip/hbm_phyio_control_v1_0_0
  402. advanced_io_wizard_phy_v1_0_0=$RDI_DATADIR/xsim/ip/advanced_io_wizard_phy_v1_0_0
  403. xsdbs_v1_0_2=$RDI_DATADIR/xsim/ip/xsdbs_v1_0_2
  404. xlconstant_v1_1_7=$RDI_DATADIR/xsim/ip/xlconstant_v1_1_7
  405. v_multi_scaler_v1_2_2=$RDI_DATADIR/xsim/ip/v_multi_scaler_v1_2_2
  406. axi_mmu_v2_1_23=$RDI_DATADIR/xsim/ip/axi_mmu_v2_1_23
  407. axi_hbicap_v1_0_4=$RDI_DATADIR/xsim/ip/axi_hbicap_v1_0_4
  408. quadsgmii_v3_5_5=$RDI_DATADIR/xsim/ip/quadsgmii_v3_5_5
  409. tsn_temac_v1_0_6=$RDI_DATADIR/xsim/ip/tsn_temac_v1_0_6
  410. gtwizard_ultrascale_v1_7_12=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_7_12
  411. axi_master_burst_v2_0_7=$RDI_DATADIR/xsim/ip/axi_master_burst_v2_0_7
  412. axi_dbg_hub=$RDI_DATADIR/xsim/ip/axi_dbg_hub
  413. v_hscaler_v1_1_4=$RDI_DATADIR/xsim/ip/v_hscaler_v1_1_4
  414. ll_compress_v2_0_0=$RDI_DATADIR/xsim/ip/ll_compress_v2_0_0
  415. c_reg_fd_v12_0_6=$RDI_DATADIR/xsim/ip/c_reg_fd_v12_0_6
  416. v_warp_init_v1_0_2=$RDI_DATADIR/xsim/ip/v_warp_init_v1_0_2
  417. pci32_v5_0_12=$RDI_DATADIR/xsim/ip/pci32_v5_0_12
  418. debug_tcp_server_v1=$RDI_DATADIR/xsim/ip/debug_tcp_server_v1
  419. xilinx_vip=$RDI_DATADIR/xsim/ip/xilinx_vip
  420. high_speed_selectio_wiz_v3_6_2=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_6_2
  421. proc_sys_reset_v5_0_13=$RDI_DATADIR/xsim/ip/proc_sys_reset_v5_0_13
  422. sim_rst_gen_v1_0_2=$RDI_DATADIR/xsim/ip/sim_rst_gen_v1_0_2
  423. c_shift_ram_v12_0_14=$RDI_DATADIR/xsim/ip/c_shift_ram_v12_0_14
  424. sim_qdma_sc_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_sc_v1_0
  425. axis_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axis_infrastructure_v1_1_0
  426. timer_sync_1588_v1_2_4=$RDI_DATADIR/xsim/ip/timer_sync_1588_v1_2_4
  427. dfx_axi_shutdown_manager_v1_0_0=$RDI_DATADIR/xsim/ip/dfx_axi_shutdown_manager_v1_0_0
  428. axi_stream_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/axi_stream_monitor_v1_1_0
  429. axi_quad_spi_v3_2_24=$RDI_DATADIR/xsim/ip/axi_quad_spi_v3_2_24
  430. v_scenechange_v1_1_3=$RDI_DATADIR/xsim/ip/v_scenechange_v1_1_3
  431. ieee802d3_50g_rs_fec_v2_0_10=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v2_0_10
  432. axi_intc_v4_1_16=$RDI_DATADIR/xsim/ip/axi_intc_v4_1_16
  433. canfd_v3_0_4=$RDI_DATADIR/xsim/ip/canfd_v3_0_4
  434. sd_fec_v1_1_8=$RDI_DATADIR/xsim/ip/sd_fec_v1_1_8
  435. uram_rd_back_v1_0_2=$RDI_DATADIR/xsim/ip/uram_rd_back_v1_0_2
  436. axis_ila_ct_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_ct_v1_0_0
  437. axi_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axi_infrastructure_v1_1_0
  438. gtwizard_ultrascale_v1_5_4=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_5_4
  439. cam_v2_2_2=$RDI_DATADIR/xsim/ip/cam_v2_2_2
  440. zynq_ultra_ps_e_v3_3_6=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_3_6
  441. duc_ddc_compiler_v3_0_16=$RDI_DATADIR/xsim/ip/duc_ddc_compiler_v3_0_16
  442. emb_fifo_gen_v1_0_2=$RDI_DATADIR/xsim/ip/emb_fifo_gen_v1_0_2
  443. bs_switch_v1_0_0=$RDI_DATADIR/xsim/ip/bs_switch_v1_0_0
  444. interrupt_control_v3_1_4=$RDI_DATADIR/xsim/ip/interrupt_control_v3_1_4
  445. axi_vdma_v6_3_13=$RDI_DATADIR/xsim/ip/axi_vdma_v6_3_13
  446. dprx_fec_8b10b_v1_0_1=$RDI_DATADIR/xsim/ip/dprx_fec_8b10b_v1_0_1
  447. xbip_dsp48_multacc_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_multacc_v3_0_6
  448. xbip_utils_v3_0_10=$RDI_DATADIR/xsim/ip/xbip_utils_v3_0_10
  449. xbip_multadd_v3_0_16=$RDI_DATADIR/xsim/ip/xbip_multadd_v3_0_16
  450. lib_fifo_v1_0_15=$RDI_DATADIR/xsim/ip/lib_fifo_v1_0_15
  451. xdfe_common_v1_0_0=$RDI_DATADIR/xsim/ip/xdfe_common_v1_0_0
  452. axis_accelerator_adapter_v2_1_16=$RDI_DATADIR/xsim/ip/axis_accelerator_adapter_v2_1_16
  453. jesd204c_v4_2_7=$RDI_DATADIR/xsim/ip/jesd204c_v4_2_7
  454. cmac_usplus_v3_1_6=$RDI_DATADIR/xsim/ip/cmac_usplus_v3_1_6
  455. axi_ethernet_buffer_v2_0_24=$RDI_DATADIR/xsim/ip/axi_ethernet_buffer_v2_0_24
  456. v_hcresampler_v1_1_4=$RDI_DATADIR/xsim/ip/v_hcresampler_v1_1_4
  457. axi_pmon_v1_0_0=$RDI_DATADIR/xsim/ip/axi_pmon_v1_0_0
  458. common_rpc_v1=$RDI_DATADIR/xsim/ip/common_rpc_v1
  459. rld3_pl_v1_0_8=$RDI_DATADIR/xsim/ip/rld3_pl_v1_0_8
  460. v_tpg_v8_1_4=$RDI_DATADIR/xsim/ip/v_tpg_v8_1_4
  461. vitis_net_p4_v1_0_2=$RDI_DATADIR/xsim/ip/vitis_net_p4_v1_0_2
  462. rama_v1_1_11_lib=$RDI_DATADIR/xsim/ip/rama_v1_1_11_lib
  463. aie_xtlm_v1_0_0=$RDI_DATADIR/xsim/ip/aie_xtlm_v1_0_0
  464. dp_videoaxi4s_bridge_v1_0_1=$RDI_DATADIR/xsim/ip/dp_videoaxi4s_bridge_v1_0_1
  465. nvmeha_v1_0_6=$RDI_DATADIR/xsim/ip/nvmeha_v1_0_6
  466. xbip_dsp48_acc_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_acc_v3_0_6
  467. ltlib_v1_0_0=$RDI_DATADIR/xsim/ip/ltlib_v1_0_0
  468. xdfe_nr_prach_v1_0_1=$RDI_DATADIR/xsim/ip/xdfe_nr_prach_v1_0_1
  469. v_hdmi_phy1_v1_0_5=$RDI_DATADIR/xsim/ip/v_hdmi_phy1_v1_0_5
  470. axi_uart16550_v2_0_27=$RDI_DATADIR/xsim/ip/axi_uart16550_v2_0_27
  471. shell_utils_msp432_bsl_crc_gen_v1_0_0=$RDI_DATADIR/xsim/ip/shell_utils_msp432_bsl_crc_gen_v1_0_0
  472. floating_point_v7_1_13=$RDI_DATADIR/xsim/ip/floating_point_v7_1_13
  473. oddr_v1_0_2=$RDI_DATADIR/xsim/ip/oddr_v1_0_2
  474. rs_encoder_v9_0_16=$RDI_DATADIR/xsim/ip/rs_encoder_v9_0_16
  475. ta_dma_v1_0_9=$RDI_DATADIR/xsim/ip/ta_dma_v1_0_9
  476. ibert_lib_v1_0_7=$RDI_DATADIR/xsim/ip/ibert_lib_v1_0_7