You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

Coraz7_Test.xpr 15KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308
  1. <?xml version="1.0" encoding="UTF-8"?>
  2. <!-- Product Version: Vivado v2021.2 (64-bit) -->
  3. <!-- -->
  4. <!-- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -->
  5. <Project Version="7" Minor="56" Path="C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.xpr">
  6. <DefaultLaunch Dir="$PRUNDIR"/>
  7. <Configuration>
  8. <Option Name="Id" Val="0548ab2430ec43b198e1ef48542e139d"/>
  9. <Option Name="Part" Val="xc7z010clg400-1"/>
  10. <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
  11. <Option Name="CompiledLibDirXSim" Val=""/>
  12. <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>
  13. <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>
  14. <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/>
  15. <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>
  16. <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>
  17. <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>
  18. <Option Name="SimulatorInstallDirModelSim" Val=""/>
  19. <Option Name="SimulatorInstallDirQuesta" Val=""/>
  20. <Option Name="SimulatorInstallDirXcelium" Val=""/>
  21. <Option Name="SimulatorInstallDirVCS" Val=""/>
  22. <Option Name="SimulatorInstallDirRiviera" Val=""/>
  23. <Option Name="SimulatorInstallDirActiveHdl" Val=""/>
  24. <Option Name="SimulatorGccInstallDirModelSim" Val=""/>
  25. <Option Name="SimulatorGccInstallDirQuesta" Val=""/>
  26. <Option Name="SimulatorGccInstallDirXcelium" Val=""/>
  27. <Option Name="SimulatorGccInstallDirVCS" Val=""/>
  28. <Option Name="SimulatorGccInstallDirRiviera" Val=""/>
  29. <Option Name="SimulatorGccInstallDirActiveHdl" Val=""/>
  30. <Option Name="SimulatorVersionXsim" Val="2021.2"/>
  31. <Option Name="SimulatorVersionModelSim" Val="2020.4"/>
  32. <Option Name="SimulatorVersionQuesta" Val="2020.4"/>
  33. <Option Name="SimulatorVersionXcelium" Val="20.09.006"/>
  34. <Option Name="SimulatorVersionVCS" Val="R-2020.12"/>
  35. <Option Name="SimulatorVersionRiviera" Val="2020.10"/>
  36. <Option Name="SimulatorVersionActiveHdl" Val="12.0"/>
  37. <Option Name="SimulatorGccVersionXsim" Val="6.2.0"/>
  38. <Option Name="SimulatorGccVersionModelSim" Val="5.3.0"/>
  39. <Option Name="SimulatorGccVersionQuesta" Val="5.3.0"/>
  40. <Option Name="SimulatorGccVersionXcelium" Val="6.3"/>
  41. <Option Name="SimulatorGccVersionVCS" Val="6.2.0"/>
  42. <Option Name="SimulatorGccVersionRiviera" Val="6.2.0"/>
  43. <Option Name="SimulatorGccVersionActiveHdl" Val="6.2.0"/>
  44. <Option Name="BoardPart" Val=""/>
  45. <Option Name="ActiveSimSet" Val="sim_1"/>
  46. <Option Name="DefaultLib" Val="xil_defaultlib"/>
  47. <Option Name="ProjectType" Val="Default"/>
  48. <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/>
  49. <Option Name="IPDefaultOutputPath" Val="$PGENDIR/sources_1"/>
  50. <Option Name="IPCachePermission" Val="read"/>
  51. <Option Name="IPCachePermission" Val="write"/>
  52. <Option Name="EnableCoreContainer" Val="FALSE"/>
  53. <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>
  54. <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/>
  55. <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
  56. <Option Name="EnableBDX" Val="FALSE"/>
  57. <Option Name="DSABoardId" Val="zybo-z7-10"/>
  58. <Option Name="WTXSimLaunchSim" Val="161"/>
  59. <Option Name="WTModelSimLaunchSim" Val="0"/>
  60. <Option Name="WTQuestaLaunchSim" Val="0"/>
  61. <Option Name="WTIesLaunchSim" Val="0"/>
  62. <Option Name="WTVcsLaunchSim" Val="0"/>
  63. <Option Name="WTRivieraLaunchSim" Val="0"/>
  64. <Option Name="WTActivehdlLaunchSim" Val="0"/>
  65. <Option Name="WTXSimExportSim" Val="0"/>
  66. <Option Name="WTModelSimExportSim" Val="0"/>
  67. <Option Name="WTQuestaExportSim" Val="0"/>
  68. <Option Name="WTIesExportSim" Val="0"/>
  69. <Option Name="WTVcsExportSim" Val="0"/>
  70. <Option Name="WTRivieraExportSim" Val="0"/>
  71. <Option Name="WTActivehdlExportSim" Val="0"/>
  72. <Option Name="GenerateIPUpgradeLog" Val="TRUE"/>
  73. <Option Name="XSimRadix" Val="hex"/>
  74. <Option Name="XSimTimeUnit" Val="ns"/>
  75. <Option Name="XSimArrayDisplayLimit" Val="1024"/>
  76. <Option Name="XSimTraceLimit" Val="65536"/>
  77. <Option Name="SimTypes" Val="rtl"/>
  78. <Option Name="SimTypes" Val="bfm"/>
  79. <Option Name="SimTypes" Val="tlm"/>
  80. <Option Name="SimTypes" Val="tlm_dpi"/>
  81. <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/>
  82. <Option Name="DcpsUptoDate" Val="TRUE"/>
  83. <Option Name="ClassicSocBoot" Val="FALSE"/>
  84. </Configuration>
  85. <FileSets Version="1" Minor="31">
  86. <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
  87. <Filter Type="Srcs"/>
  88. <File Path="$PSRCDIR/sources_1/new/pwm_test.vhd">
  89. <FileInfo>
  90. <Attr Name="UsedIn" Val="synthesis"/>
  91. <Attr Name="UsedIn" Val="simulation"/>
  92. </FileInfo>
  93. </File>
  94. <File Path="$PSRCDIR/sources_1/bd/design_1/design_1.bd">
  95. <FileInfo>
  96. <Attr Name="AutoDisabled" Val="1"/>
  97. <Attr Name="UsedIn" Val="synthesis"/>
  98. <Attr Name="UsedIn" Val="implementation"/>
  99. <Attr Name="UsedIn" Val="simulation"/>
  100. </FileInfo>
  101. </File>
  102. <File Path="$PSRCDIR/sources_1/new/pt1.vhd">
  103. <FileInfo>
  104. <Attr Name="AutoDisabled" Val="1"/>
  105. <Attr Name="UsedIn" Val="synthesis"/>
  106. <Attr Name="UsedIn" Val="simulation"/>
  107. </FileInfo>
  108. </File>
  109. <File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_pkg.vhdl">
  110. <FileInfo SFType="VHDL2008">
  111. <Attr Name="Library" Val="ieee_proposed"/>
  112. <Attr Name="AutoDisabled" Val="1"/>
  113. <Attr Name="ImportPath" Val="$PPRDIR/../../../../../Bibliotheken/fixedPoint/fixed_pkg.vhdl"/>
  114. <Attr Name="ImportTime" Val="1652436402"/>
  115. <Attr Name="UsedIn" Val="synthesis"/>
  116. <Attr Name="UsedIn" Val="simulation"/>
  117. </FileInfo>
  118. </File>
  119. <File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_float_types.vhdl">
  120. <FileInfo SFType="VHDL2008">
  121. <Attr Name="Library" Val="ieee_proposed"/>
  122. <Attr Name="AutoDisabled" Val="1"/>
  123. <Attr Name="ImportPath" Val="$PPRDIR/../../../../../Bibliotheken/fixedPoint/fixed_float_types.vhdl"/>
  124. <Attr Name="ImportTime" Val="1652436395"/>
  125. <Attr Name="UsedIn" Val="synthesis"/>
  126. <Attr Name="UsedIn" Val="simulation"/>
  127. </FileInfo>
  128. </File>
  129. <File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_generic_pkg.vhdl">
  130. <FileInfo SFType="VHDL2008">
  131. <Attr Name="Library" Val="ieee_proposed"/>
  132. <Attr Name="AutoDisabled" Val="1"/>
  133. <Attr Name="ImportPath" Val="$PPRDIR/../../../../../Bibliotheken/fixedPoint/fixed_generic_pkg.vhdl"/>
  134. <Attr Name="ImportTime" Val="1652436398"/>
  135. <Attr Name="UsedIn" Val="synthesis"/>
  136. <Attr Name="UsedIn" Val="simulation"/>
  137. </FileInfo>
  138. </File>
  139. <File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_generic_pkg-body.vhdl">
  140. <FileInfo SFType="VHDL2008">
  141. <Attr Name="Library" Val="ieee_proposed"/>
  142. <Attr Name="AutoDisabled" Val="1"/>
  143. <Attr Name="ImportPath" Val="$PPRDIR/../../../../../Bibliotheken/fixedPoint/fixed_generic_pkg-body.vhdl"/>
  144. <Attr Name="ImportTime" Val="1652436400"/>
  145. <Attr Name="UsedIn" Val="synthesis"/>
  146. <Attr Name="UsedIn" Val="simulation"/>
  147. </FileInfo>
  148. </File>
  149. <Config>
  150. <Option Name="DesignMode" Val="RTL"/>
  151. <Option Name="TopModule" Val="regler"/>
  152. <Option Name="TopAutoSet" Val="TRUE"/>
  153. </Config>
  154. </FileSet>
  155. <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1">
  156. <Filter Type="Constrs"/>
  157. <File Path="$PSRCDIR/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc">
  158. <FileInfo>
  159. <Attr Name="ImportPath" Val="$PPRDIR/../../New_folder/digilent-xdc-master/Cora-Z7-10-Master.xdc"/>
  160. <Attr Name="ImportTime" Val="1640790689"/>
  161. <Attr Name="UsedIn" Val="synthesis"/>
  162. <Attr Name="UsedIn" Val="implementation"/>
  163. </FileInfo>
  164. </File>
  165. <Config>
  166. <Option Name="ConstrsType" Val="XDC"/>
  167. </Config>
  168. </FileSet>
  169. <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1">
  170. <Filter Type="Srcs"/>
  171. <File Path="$PSRCDIR/sim_1/new/pwm_test_db.vhd">
  172. <FileInfo>
  173. <Attr Name="UsedIn" Val="synthesis"/>
  174. <Attr Name="UsedIn" Val="simulation"/>
  175. </FileInfo>
  176. </File>
  177. <File Path="$PPRDIR/pwm_test_db_func_synth.wcfg">
  178. <FileInfo>
  179. <Attr Name="UsedIn" Val="simulation"/>
  180. </FileInfo>
  181. </File>
  182. <Config>
  183. <Option Name="DesignMode" Val="RTL"/>
  184. <Option Name="TopModule" Val="pwm_test_db"/>
  185. <Option Name="TopLib" Val="xil_defaultlib"/>
  186. <Option Name="TopAutoSet" Val="TRUE"/>
  187. <Option Name="TransportPathDelay" Val="0"/>
  188. <Option Name="TransportIntDelay" Val="0"/>
  189. <Option Name="SelectedSimModel" Val="rtl"/>
  190. <Option Name="PamDesignTestbench" Val=""/>
  191. <Option Name="PamDutBypassFile" Val="xil_dut_bypass"/>
  192. <Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/>
  193. <Option Name="PamPseudoTop" Val="pseudo_tb"/>
  194. <Option Name="SrcSet" Val="sources_1"/>
  195. <Option Name="XSimWcfgFile" Val="$PPRDIR/pwm_test_db_func_synth.wcfg"/>
  196. <Option Name="xsim.simulate.runtime" Val="10 s"/>
  197. </Config>
  198. </FileSet>
  199. <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
  200. <Filter Type="Utils"/>
  201. <File Path="$PSRCDIR/utils_1/imports/synth_1/pwm_test.dcp">
  202. <FileInfo>
  203. <Attr Name="UsedIn" Val="synthesis"/>
  204. <Attr Name="UsedIn" Val="implementation"/>
  205. <Attr Name="UsedInSteps" Val="synth_1"/>
  206. <Attr Name="AutoDcp" Val="1"/>
  207. </FileInfo>
  208. </File>
  209. <File Path="$PSRCDIR/utils_1/imports/synth_1/regler.dcp">
  210. <FileInfo>
  211. <Attr Name="UsedIn" Val="synthesis"/>
  212. <Attr Name="UsedIn" Val="implementation"/>
  213. <Attr Name="UsedInSteps" Val="synth_1"/>
  214. <Attr Name="AutoDcp" Val="1"/>
  215. </FileInfo>
  216. </File>
  217. <File Path="$PPRDIR/../../../../../StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp">
  218. <FileInfo>
  219. <Attr Name="UsedIn" Val="synthesis"/>
  220. <Attr Name="UsedIn" Val="implementation"/>
  221. <Attr Name="UsedInSteps" Val="synth_1"/>
  222. <Attr Name="AutoDcp" Val="1"/>
  223. </FileInfo>
  224. </File>
  225. <Config>
  226. <Option Name="TopAutoSet" Val="TRUE"/>
  227. </Config>
  228. </FileSet>
  229. </FileSets>
  230. <Simulators>
  231. <Simulator Name="XSim">
  232. <Option Name="Description" Val="Vivado Simulator"/>
  233. <Option Name="CompiledLib" Val="0"/>
  234. </Simulator>
  235. <Simulator Name="ModelSim">
  236. <Option Name="Description" Val="ModelSim Simulator"/>
  237. </Simulator>
  238. <Simulator Name="Questa">
  239. <Option Name="Description" Val="Questa Advanced Simulator"/>
  240. </Simulator>
  241. <Simulator Name="Riviera">
  242. <Option Name="Description" Val="Riviera-PRO Simulator"/>
  243. </Simulator>
  244. <Simulator Name="ActiveHDL">
  245. <Option Name="Description" Val="Active-HDL Simulator"/>
  246. </Simulator>
  247. </Simulators>
  248. <Runs Version="1" Minor="15">
  249. <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7z010clg400-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="true" IncrementalCheckpoint="$PPRDIR/../../../../../StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PPRDIR/../../../../../StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1">
  250. <Strategy Version="1" Minor="2">
  251. <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2021"/>
  252. <Step Id="synth_design"/>
  253. </Strategy>
  254. <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
  255. <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2021"/>
  256. <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
  257. <RQSFiles/>
  258. </Run>
  259. <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7z010clg400-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PPRDIR/../../../../../StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/impl_1">
  260. <Strategy Version="1" Minor="2">
  261. <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2021"/>
  262. <Step Id="init_design"/>
  263. <Step Id="opt_design"/>
  264. <Step Id="power_opt_design"/>
  265. <Step Id="place_design"/>
  266. <Step Id="post_place_power_opt_design"/>
  267. <Step Id="phys_opt_design"/>
  268. <Step Id="route_design"/>
  269. <Step Id="post_route_phys_opt_design"/>
  270. <Step Id="write_bitstream"/>
  271. </Strategy>
  272. <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2021"/>
  273. <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
  274. <RQSFiles/>
  275. </Run>
  276. </Runs>
  277. <Board/>
  278. <DashboardSummary Version="1" Minor="0">
  279. <Dashboards>
  280. <Dashboard Name="default_dashboard">
  281. <Gadgets>
  282. <Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0">
  283. <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/>
  284. </Gadget>
  285. <Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1">
  286. <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/>
  287. </Gadget>
  288. <Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0">
  289. <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/>
  290. </Gadget>
  291. <Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1">
  292. <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/>
  293. </Gadget>
  294. <Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0">
  295. <GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/>
  296. <GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/>
  297. <GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/>
  298. </Gadget>
  299. <Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1">
  300. <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/>
  301. </Gadget>
  302. </Gadgets>
  303. </Dashboard>
  304. <CurrentDashboard>default_dashboard</CurrentDashboard>
  305. </Dashboards>
  306. </DashboardSummary>
  307. </Project>