You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

vivado_pid5492.str 151KB

12345678910111213141516171819202122232425262728293031323334353637383940414243444546474849505152535455565758596061626364656667686970717273747576777879808182838485868788899091929394959697989910010110210310410510610710810911011111211311411511611711811912012112212312412512612712812913013113213313413513613713813914014114214314414514614714814915015115215315415515615715815916016116216316416516616716816917017117217317417517617717817918018118218318418518618718818919019119219319419519619719819920020120220320420520620720820921021121221321421521621721821922022122222322422522622722822923023123223323423523623723823924024124224324424524624724824925025125225325425525625725825926026126226326426526626726826927027127227327427527627727827928028128228328428528628728828929029129229329429529629729829930030130230330430530630730830931031131231331431531631731831932032132232332432532632732832933033133233333433533633733833934034134234334434534634734834935035135235335435535635735835936036136236336436536636736836937037137237337437537637737837938038138238338438538638738838939039139239339439539639739839940040140240340440540640740840941041141241341441541641741841942042142242342442542642742842943043143243343443543643743843944044144244344444544644744844945045145245345445545645745845946046146246346446546646746846947047147247347447547647747847948048148248348448548648748848949049149249349449549649749849950050150250350450550650750850951051151251351451551651751851952052152252352452552652752852953053153253353453553653753853954054154254354454554654754854955055155255355455555655755855956056156256356456556656756856957057157257357457557657757857958058158258358458558658758858959059159259359459559659759859960060160260360460560660760860961061161261361461561661761861962062162262362462562662762862963063163263363463563663763863964064164264364464564664764864965065165265365465565665765865966066166266366466566666766866967067167267367467567667767867968068168268368468568668768868969069169269369469569669769869970070170270370470570670770870971071171271371471571671771871972072172272372472572672772872973073173273373473573673773873974074174274374474574674774874975075175275375475575675775875976076176276376476576676776876977077177277377477577677777877978078178278378478578678778878979079179279379479579679779879980080180280380480580680780880981081181281381481581681781881982082182282382482582682782882983083183283383483583683783883984084184284384484584684784884985085185285385485585685785885986086186286386486586686786886987087187287387487587687787887988088188288388488588688788888989089189289389489589689789889990090190290390490590690790890991091191291391491591691791891992092192292392492592692792892993093193293393493593693793893994094194294394494594694794894995095195295395495595695795895996096196296396496596696796896997097197297397497597697797897998098198298398498598698798898999099199299399499599699799899910001001100210031004100510061007100810091010101110121013101410151016101710181019102010211022102310241025102610271028102910301031103210331034103510361037103810391040104110421043104410451046104710481049105010511052105310541055105610571058105910601061106210631064106510661067106810691070107110721073107410751076107710781079108010811082108310841085108610871088108910901091109210931094109510961097109810991100110111021103110411051106110711081109111011111112111311141115111611171118111911201121112211231124112511261127112811291130113111321133113411351136113711381139114011411142114311441145114611471148114911501151115211531154115511561157115811591160116111621163116411651166116711681169117011711172117311741175117611771178117911801181118211831184118511861187118811891190119111921193119411951196119711981199120012011202120312041205120612071208120912101211121212131214121512161217121812191220122112221223122412251226122712281229123012311232123312341235123612371238123912401241124212431244124512461247124812491250125112521253125412551256125712581259126012611262126312641265126612671268126912701271127212731274127512761277127812791280128112821283128412851286128712881289129012911292129312941295129612971298129913001301130213031304130513061307130813091310131113121313131413151316131713181319132013211322132313241325132613271328132913301331133213331334133513361337133813391340134113421343134413451346134713481349135013511352135313541355135613571358135913601361136213631364136513661367136813691370137113721373137413751376137713781379138013811382138313841385138613871388138913901391139213931394139513961397139813991400140114021403140414051406140714081409141014111412141314141415141614171418141914201421142214231424142514261427142814291430143114321433143414351436143714381439144014411442144314441445144614471448144914501451145214531454145514561457145814591460146114621463146414651466146714681469147014711472147314741475147614771478147914801481148214831484148514861487148814891490149114921493149414951496149714981499150015011502150315041505150615071508150915101511151215131514151515161517151815191520152115221523152415251526152715281529153015311532153315341535153615371538153915401541154215431544154515461547154815491550155115521553155415551556155715581559156015611562156315641565156615671568156915701571157215731574157515761577157815791580158115821583158415851586158715881589159015911592159315941595159615971598159916001601160216031604160516061607160816091610161116121613161416151616161716181619162016211622162316241625162616271628162916301631163216331634163516361637163816391640164116421643164416451646164716481649165016511652165316541655165616571658165916601661166216631664166516661667166816691670167116721673167416751676167716781679168016811682168316841685168616871688168916901691169216931694169516961697169816991700170117021703170417051706170717081709171017111712171317141715171617171718171917201721172217231724172517261727172817291730173117321733173417351736173717381739174017411742174317441745174617471748174917501751175217531754175517561757175817591760176117621763176417651766176717681769177017711772177317741775177617771778177917801781178217831784178517861787178817891790179117921793179417951796179717981799180018011802180318041805180618071808180918101811181218131814181518161817181818191820182118221823182418251826182718281829183018311832183318341835183618371838183918401841184218431844184518461847184818491850185118521853185418551856185718581859186018611862186318641865186618671868186918701871187218731874187518761877187818791880188118821883188418851886188718881889189018911892189318941895189618971898189919001901190219031904190519061907190819091910191119121913191419151916191719181919192019211922192319241925192619271928192919301931193219331934193519361937193819391940194119421943194419451946194719481949195019511952195319541955195619571958195919601961196219631964196519661967196819691970197119721973
  1. /*
  2. Xilinx Vivado v2021.2 (64-bit) [Major: 2021, Minor: 2]
  3. SW Build: 3367213 on Tue Oct 19 02:48:09 MDT 2021
  4. IP Build: 3369179 on Thu Oct 21 08:25:16 MDT 2021
  5. Process ID (PID): 5492
  6. License: Customer
  7. Mode: GUI Mode
  8. Current time: Fri May 13 11:33:46 CEST 2022
  9. Time zone: Central European Standard Time (Europe/Berlin)
  10. OS: Windows 10
  11. OS Version: 10.0
  12. OS Architecture: amd64
  13. Available processors (cores): 12
  14. Screen size: 1920x1080
  15. Screen resolution (DPI): 100
  16. Available screens: 2
  17. Default font: family=Dialog,name=Dialog,style=plain,size=12
  18. Scale size: 12
  19. Java version: 11.0.11 64-bit
  20. Java home: C:/Xilinx/Vivado/2021.2/tps/win64/jre11.0.11_9
  21. Java executable: C:/Xilinx/Vivado/2021.2/tps/win64/jre11.0.11_9/bin/java.exe
  22. Java arguments: [-Dsun.java2d.pmoffscreen=false, -Dhttps.protocols=TLSv1,TLSv1.1,TLSv1.2, -Dsun.java2d.xrender=false, -Dsun.java2d.d3d=false, -Dsun.awt.nopixfmt=true, -Dsun.java2d.dpiaware=true, -Dsun.java2d.uiScale.enabled=false, -Xverify:none, -Dswing.aatext=true, -XX:-UsePerfData, -Djdk.map.althashing.threshold=512, -XX:StringTableSize=4072, --add-opens=java.base/java.nio=ALL-UNNAMED, --add-opens=java.desktop/sun.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.desktop/com.sun.awt=ALL-UNNAMED, -XX:NewSize=60m, -XX:MaxNewSize=60m, -Xms256m, -Xmx3072m, -Xss5m]
  23. Java initial memory (-Xms): 256 MB
  24. Java maximum memory (-Xmx): 3 GB
  25. User name: Felix
  26. User home directory: C:/Users/Felix
  27. User working directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim
  28. User country: DE
  29. User language: de
  30. User locale: de_DE
  31. RDI_BASEROOT: C:/Xilinx/Vivado
  32. HDI_APPROOT: C:/Xilinx/Vivado/2021.2
  33. RDI_DATADIR: C:/Xilinx/Vivado/2021.2/data
  34. RDI_BINDIR: C:/Xilinx/Vivado/2021.2/bin
  35. Vivado preferences file: C:/Users/Felix/AppData/Roaming/Xilinx/Vivado/2021.2/vivado.xml
  36. Vivado preferences directory: C:/Users/Felix/AppData/Roaming/Xilinx/Vivado/2021.2/
  37. Vivado layouts directory: C:/Users/Felix/AppData/Roaming/Xilinx/Vivado/2021.2/data/layouts
  38. PlanAhead jar file: C:/Xilinx/Vivado/2021.2/lib/classes/planAhead.jar
  39. Vivado log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/vivado.log
  40. Vivado journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/vivado.jou
  41. Engine tmp dir: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/.Xil/Vivado-5492-DESKTOP-PAACOM8
  42. Xilinx Environment Variables
  43. ----------------------------
  44. TWINCATSDK: C:\TwinCAT\3.1\SDK\
  45. XILINX: C:/Xilinx/Vivado/2021.2/ids_lite/ISE
  46. XILINX_DSP: C:/Xilinx/Vivado/2021.2/ids_lite/ISE
  47. XILINX_HLS: C:/Xilinx/Vitis_HLS/2021.2
  48. XILINX_PLANAHEAD: C:/Xilinx/Vivado/2021.2
  49. XILINX_VIVADO: C:/Xilinx/Vivado/2021.2
  50. XILINX_VIVADO_HLS: C:/Xilinx/Vivado/2021.2
  51. GUI allocated memory: 324 MB
  52. GUI max memory: 3,072 MB
  53. Engine allocated memory: 1,307 MB
  54. Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
  55. */
  56. // TclEventType: START_GUI
  57. // Tcl Message: start_gui
  58. // TclEventType: PROJECT_OPEN_DIALOG
  59. // Opening Vivado Project: C:\Users\Felix\OneDrive\Master\Projektarbeit_FPGA\Programme\Git_Projekte\FPGA_Projekt_Regler\StreckenSim_mitRegler\StreckeSim_counter_working\StreckeSim\Coraz7_Test.xpr. Version: Vivado v2021.2
  60. // TclEventType: DEBUG_PROBE_SET_CHANGE
  61. // TclEventType: FLOW_ADDED
  62. // Tcl Message: open_project C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.xpr
  63. // Tcl Message: INFO: [ProjectBase 1-489] The host OS only allows 260 characters in a normal path. The project is stored in a path with more than 80 characters. If you experience issues with IP, Block Designs, or files not being found, please consider moving the project to a location with a shorter path. Alternately consider using the OS subst command to map part of the path to a drive letter. Current project path is 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim'
  64. // HMemoryUtils.trashcanNow. Engine heap size: 1,307 MB. GUI used memory: 57 MB. Current time: 5/13/22, 11:33:47 AM CEST
  65. // TclEventType: MSGMGR_MOVEMSG
  66. // TclEventType: FILE_SET_CHANGE
  67. // TclEventType: FILE_SET_NEW
  68. // TclEventType: RUN_COMPLETED
  69. // TclEventType: RUN_STATUS_CHANGE
  70. // TclEventType: RUN_FAILED
  71. // TclEventType: RUN_STATUS_CHANGE
  72. // TclEventType: RUN_CURRENT
  73. // TclEventType: PROJECT_DASHBOARD_NEW
  74. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  75. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  76. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  77. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  78. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  79. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  80. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  81. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  82. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  83. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  84. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  85. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  86. // TclEventType: PROJECT_NEW
  87. // Tcl Message: open_project C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.xpr
  88. // Tcl Message: INFO: [ProjectBase 1-489] The host OS only allows 260 characters in a normal path. The project is stored in a path with more than 80 characters. If you experience issues with IP, Block Designs, or files not being found, please consider moving the project to a location with a shorter path. Alternately consider using the OS subst command to map part of the path to a drive letter. Current project path is 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim'
  89. // Tcl Message: INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.gen/sources_1'. INFO: [BD 41-2613] The output directory c:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.gen/sources_1/bd/design_1 for design_1 cannot be found.
  90. // Tcl Message: Scanning sources... Finished scanning sources
  91. // TclEventType: PROJECT_NEW
  92. // [GUI Memory]: 81 MB (+82453kb) [00:00:14]
  93. // [Engine Memory]: 1,307 MB (+1218722kb) [00:00:14]
  94. // WARNING: HEventQueue.dispatchEvent() is taking 2855 ms.
  95. // Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2021.2/data/ip'.
  96. // Tcl Message: open_project: Time (s): cpu = 00:00:28 ; elapsed = 00:00:10 . Memory (MB): peak = 1580.359 ; gain = 0.000
  97. // Project name: Coraz7_Test; location: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim; part: xc7z010clg400-1
  98. dismissDialog("Open Project"); // bA
  99. // [GUI Memory]: 115 MB (+31765kb) [00:00:19]
  100. selectButton(PAResourceQtoS.RunGadget_SHOW_ERROR, "5 errors"); // g
  101. // Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW
  102. // Tcl Message: update_compile_order -fileset sources_1
  103. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints]", 4); // D
  104. // PAPropertyPanels.initPanels (Cora-Z7-10-Master.xdc) elapsed time: 0.2s
  105. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, Cora-Z7-10-Master.xdc]", 6, false); // D
  106. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, Cora-Z7-10-Master.xdc]", 6, false, false, false, false, false, true); // D - Double Click
  107. // [GUI Memory]: 128 MB (+7607kb) [00:00:27]
  108. // WARNING: HEventQueue.dispatchEvent() is taking 1562 ms.
  109. dismissDialog("Opening Editor"); // bA
  110. selectCodeEditor("Cora-Z7-10-Master.xdc", 78, 103); // be
  111. selectMenuItem(RDIResourceCommand.RDICommands_COPY, "Copy"); // ao
  112. // Elapsed time: 21 seconds
  113. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 14, false); // n
  114. // Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
  115. // TclEventType: RUN_MODIFY
  116. // TclEventType: RUN_RESET
  117. // TclEventType: RUN_STATUS_CHANGE
  118. // TclEventType: RUN_RESET
  119. // TclEventType: RUN_STATUS_CHANGE
  120. // TclEventType: RUN_RESET
  121. // TclEventType: RUN_MODIFY
  122. // Tcl Message: reset_run synth_1
  123. // Tcl Message: INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  124. // TclEventType: FILE_SET_CHANGE
  125. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  126. dismissDialog("Launch Runs"); // f
  127. // TclEventType: RUN_LAUNCH
  128. // TclEventType: RUN_MODIFY
  129. // TclEventType: RUN_STATUS_CHANGE
  130. // Tcl Message: launch_runs synth_1 -jobs 6
  131. // Tcl Message: [Fri May 13 11:34:46 2022] Launched synth_1... Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  132. dismissDialog("Starting Design Runs"); // bA
  133. // TclEventType: RUN_STATUS_CHANGE
  134. // [GUI Memory]: 136 MB (+1534kb) [00:02:03]
  135. // TclEventType: RUN_COMPLETED
  136. // TclEventType: RUN_STATUS_CHANGE
  137. // TclEventType: RUN_STEP_COMPLETED
  138. // Elapsed time: 74 seconds
  139. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  140. // Run Command: PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION
  141. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  142. dismissDialog("Launch Runs"); // f
  143. // TclEventType: RUN_LAUNCH
  144. // TclEventType: RUN_MODIFY
  145. // TclEventType: RUN_STATUS_CHANGE
  146. // Tcl Message: launch_runs impl_1 -jobs 6
  147. // Tcl Message: [Fri May 13 11:36:03 2022] Launched impl_1... Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/impl_1/runme.log
  148. dismissDialog("Starting Design Runs"); // bA
  149. // TclEventType: RUN_STATUS_CHANGE
  150. // TclEventType: RUN_STEP_COMPLETED
  151. // TclEventType: RUN_FAILED
  152. // TclEventType: RUN_STATUS_CHANGE
  153. // TclEventType: RUN_STEP_COMPLETED
  154. // Elapsed time: 56 seconds
  155. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  156. // Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW
  157. dismissDialog("Implementation Failed"); // ag
  158. // TclEventType: FILE_SET_CHANGE
  159. // Elapsed time: 218 seconds
  160. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Project Summary", 0); // m
  161. // Elapsed time: 41 seconds
  162. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, regler(Behavioral) (pwm_test.vhd)]", 2, false); // D
  163. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, regler(Behavioral) (pwm_test.vhd)]", 2, false, false, false, false, false, true); // D - Double Click
  164. // WARNING: HEventQueue.dispatchEvent() is taking 1116 ms.
  165. dismissDialog("Opening Editor"); // bA
  166. // Elapsed time: 190 seconds
  167. selectCodeEditor("pwm_test.vhd", 212, 280); // be
  168. selectCodeEditor("pwm_test.vhd", 47, 263); // be
  169. selectCodeEditor("pwm_test.vhd", 474, 312); // be
  170. selectCodeEditor("pwm_test.vhd", 58, 355); // be
  171. typeControlKey((HResource) null, "pwm_test.vhd", 'v'); // be
  172. selectCodeEditor("pwm_test.vhd", 184, 376); // be
  173. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, pt1(Behavioral) (pt1.vhd)]", 3, false); // D
  174. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, regler(Behavioral) (pwm_test.vhd)]", 2, false); // D
  175. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources]", 0, true); // D - Node
  176. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources]", 0, true, false, false, false, true, false); // D - Popup Trigger - Node
  177. selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // al
  178. selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // al
  179. selectMenuItem(PAResourceCommand.PACommandNames_ADD_SOURCES, "Add Sources..."); // ao
  180. // Run Command: PAResourceCommand.PACommandNames_ADD_SOURCES
  181. selectButton("NEXT", "Next >"); // JButton
  182. selectButton(PAResourceQtoS.SrcChooserPanel_CREATE_FILE, "Create File"); // a
  183. selectComboBox(PAResourceAtoD.CreateSrcFileDialog_FILE_TYPE, "VHDL", 3); // cm
  184. // Elapsed time: 33 seconds
  185. setText(PAResourceAtoD.CreateSrcFileDialog_FILE_NAME, "wendeTangente"); // aa
  186. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  187. dismissDialog("Create Source File"); // F
  188. selectButton("FINISH", "Finish"); // JButton
  189. // 'g' command handler elapsed time: 48 seconds
  190. dismissDialog("Add Sources"); // c
  191. // Tcl Message: close [ open C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/wendeTangente.vhd w ]
  192. // TclEventType: DG_GRAPH_STALE
  193. // TclEventType: FILE_SET_CHANGE
  194. // Tcl Message: add_files C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/wendeTangente.vhd
  195. selectTable(PAResourceAtoD.DefineModulesDialog_DEFINE_MODULES_AND_SPECIFY_IO_PORTS, " ; in ; false ; 0 ; 0", 0, "in", 1); // ab
  196. selectTable(PAResourceAtoD.DefineModulesDialog_DEFINE_MODULES_AND_SPECIFY_IO_PORTS, " ; in ; false ; 0 ; 0", 0, (String) null, 0); // ab
  197. selectTable(PAResourceAtoD.DefineModulesDialog_DEFINE_MODULES_AND_SPECIFY_IO_PORTS, null, -1, null, -1); // ab
  198. editTable(PAResourceAtoD.DefineModulesDialog_DEFINE_MODULES_AND_SPECIFY_IO_PORTS, "value", 0, "Port Name", 0); // ab
  199. selectTable(PAResourceAtoD.DefineModulesDialog_DEFINE_MODULES_AND_SPECIFY_IO_PORTS, " ; in ; false ; 0 ; 0", 1, "in", 1); // ab
  200. editTable(PAResourceAtoD.DefineModulesDialog_DEFINE_MODULES_AND_SPECIFY_IO_PORTS, "out", 1, "Direction", 1); // ab
  201. selectTable(PAResourceAtoD.DefineModulesDialog_DEFINE_MODULES_AND_SPECIFY_IO_PORTS, " ; out ; false ; 0 ; 0", 1, (String) null, 0); // ab
  202. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  203. editTable(PAResourceAtoD.DefineModulesDialog_DEFINE_MODULES_AND_SPECIFY_IO_PORTS, "param1", 1, "Port Name", 0); // ab
  204. // TclEventType: FILE_SET_CHANGE
  205. dismissDialog("Define Module"); // I
  206. selectCodeEditor("pwm_test.vhd", 607, 348); // be
  207. // TclEventType: DG_GRAPH_STALE
  208. // TclEventType: FILE_SET_CHANGE
  209. // [GUI Memory]: 144 MB (+960kb) [00:12:40]
  210. // Tcl Message: update_compile_order -fileset sources_1
  211. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, pt1(Behavioral) (pt1.vhd)]", 3, false); // D
  212. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, wendeTangente(Behavioral) (wendeTangente.vhd)]", 4, false); // D
  213. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, wendeTangente(Behavioral) (wendeTangente.vhd)]", 4, false, false, false, false, false, true); // D - Double Click
  214. // WARNING: HEventQueue.dispatchEvent() is taking 1093 ms.
  215. dismissDialog("Opening Editor"); // bA
  216. selectCodeEditor("wendeTangente.vhd", 79, 426); // be
  217. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, wendeTangente(Behavioral) (wendeTangente.vhd)]", 4, false); // D
  218. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, regler(Behavioral) (pwm_test.vhd)]", 2, false); // D
  219. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 2); // m
  220. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "wendeTangente.vhd", 3); // m
  221. // Elapsed time: 18 seconds
  222. selectCodeEditor("wendeTangente.vhd", 59, 446); // be
  223. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 2); // m
  224. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Cora-Z7-10-Master.xdc", 1); // m
  225. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "wendeTangente.vhd", 3); // m
  226. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 2); // m
  227. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "wendeTangente.vhd", 3); // m
  228. selectCodeEditor("wendeTangente.vhd", 62, 314); // be
  229. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 2); // m
  230. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "wendeTangente.vhd", 3); // m
  231. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 2); // m
  232. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "wendeTangente.vhd", 3); // m
  233. selectCodeEditor("wendeTangente.vhd", 28, 285); // be
  234. selectCodeEditor("wendeTangente.vhd", 26, 300); // be
  235. selectCodeEditor("wendeTangente.vhd", 28, 331); // be
  236. typeControlKey((HResource) null, "wendeTangente.vhd", 'v'); // be
  237. selectCodeEditor("wendeTangente.vhd", 108, 351); // be
  238. selectCodeEditor("wendeTangente.vhd", 80, 403); // be
  239. selectCodeEditor("wendeTangente.vhd", 208, 149); // be
  240. // Elapsed time: 17 seconds
  241. selectCodeEditor("wendeTangente.vhd", 245, 193); // be
  242. selectCodeEditor("wendeTangente.vhd", 116, 301); // be
  243. // TclEventType: DG_GRAPH_STALE
  244. // TclEventType: FILE_SET_CHANGE
  245. selectCodeEditor("wendeTangente.vhd", 124, 324); // be
  246. // Elapsed time: 16 seconds
  247. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 2); // m
  248. // [GUI Memory]: 152 MB (+678kb) [00:14:45]
  249. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "wendeTangente.vhd", 3); // m
  250. selectCodeEditor("wendeTangente.vhd", 122, 375); // be
  251. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 2); // m
  252. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "wendeTangente.vhd", 3); // m
  253. selectCodeEditor("wendeTangente.vhd", 102, 413); // be
  254. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 2); // m
  255. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "wendeTangente.vhd", 3); // m
  256. selectCodeEditor("wendeTangente.vhd", 369, 205); // be
  257. selectCodeEditor("wendeTangente.vhd", 121, 277); // be
  258. selectCodeEditor("wendeTangente.vhd", 69, 214); // be
  259. // Elapsed time: 264 seconds
  260. selectCodeEditor("wendeTangente.vhd", 141, 255); // be
  261. selectCodeEditor("wendeTangente.vhd", 140, 241); // be
  262. typeControlKey((HResource) null, "wendeTangente.vhd", 'v'); // be
  263. selectCodeEditor("wendeTangente.vhd", 391, 297); // be
  264. // HMemoryUtils.trashcanNow. Engine heap size: 1,307 MB. GUI used memory: 81 MB. Current time: 5/13/22, 12:03:47 PM CEST
  265. // Elapsed time: 931 seconds
  266. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources]", 0, true); // D - Node
  267. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files]", 1, true); // D - Node
  268. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files]", 1, true, false, false, false, true, false); // D - Popup Trigger - Node
  269. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, regler(Behavioral) (pwm_test.vhd)]", 3, false); // D
  270. selectCodeEditor("wendeTangente.vhd", 2, 251); // be
  271. selectCodeEditor("wendeTangente.vhd", 243, 261); // be
  272. selectCodeEditor("wendeTangente.vhd", 0, 239); // be
  273. selectCodeEditor("wendeTangente.vhd", 0, 229); // be
  274. selectCodeEditor("wendeTangente.vhd", 215, 325); // be
  275. // TclEventType: DG_GRAPH_STALE
  276. // TclEventType: FILE_SET_CHANGE
  277. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files]", 1, true); // D - Node
  278. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files]", 1, true); // D - Node
  279. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1 (design_1.bd)]", 1, false, false, false, false, false, true); // D - Double Click
  280. // TclEventType: LOAD_FEATURE
  281. // TclEventType: RSB_SCRIPT_TASK
  282. // Tcl Message: open_bd_design {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/bd/design_1/design_1.bd}
  283. // Tcl Message: Reading block design file <C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/bd/design_1/design_1.bd>...
  284. selectButton(RDIResource.ProgressDialog_CANCEL, "Cancel"); // a
  285. // Tcl Message: INFO: [Common 17-41] Interrupt caught. Command should exit soon. INFO: [Common 17-344] 'source' was cancelled
  286. // Tcl Message: 1
  287. // Tcl Message: ERROR: [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl] - while executing "source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]" ERROR: [Ip 78-89] Error in evaluating command source init.tcl - while executing "source init.tcl"
  288. // Tcl Message: 1
  289. // Tcl Message: ERROR: [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl] - while executing "source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]" ERROR: [Ip 78-89] Error in evaluating command source init.tcl - while executing "source init.tcl"
  290. // Tcl Message: 1
  291. // Tcl Message: ERROR: [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl] - while executing "source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]" ERROR: [Ip 78-89] Error in evaluating command source init.tcl - while executing "source init.tcl"
  292. // Tcl Message: 1
  293. // Tcl Message: ERROR: [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl] - while executing "source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]" ERROR: [Ip 78-89] Error in evaluating command source init.tcl - while executing "source init.tcl"
  294. // Tcl Message: 1
  295. // Tcl Message: ERROR: [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl] - while executing "source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]" ERROR: [Ip 78-89] Error in evaluating command source init.tcl - while executing "source init.tcl"
  296. // Tcl Message: 1
  297. // Tcl Message: ERROR: [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl] - while executing "source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]" ERROR: [Ip 78-89] Error in evaluating command source init.tcl - while executing "source init.tcl"
  298. // Tcl Message: 1
  299. // Tcl Message: ERROR: [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl] - while executing "source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]" ERROR: [Ip 78-89] Error in evaluating command source init.tcl - while executing "source init.tcl"
  300. // Tcl Message: 1
  301. // Tcl Message: ERROR: [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl] - while executing "source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]" ERROR: [Ip 78-89] Error in evaluating command source init.tcl - while executing "source init.tcl"
  302. // Tcl Message: 1
  303. // Tcl Message: ERROR: [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl] - while executing "source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]" ERROR: [Ip 78-89] Error in evaluating command source init.tcl - while executing "source init.tcl"
  304. // Tcl Message: 1
  305. // Tcl Message: ERROR: [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl] - while executing "source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]" ERROR: [Ip 78-89] Error in evaluating command source init.tcl - while executing "source init.tcl"
  306. // Tcl Message: 1
  307. // Tcl Message: ERROR: [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl] - while executing "source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]" ERROR: [Ip 78-89] Error in evaluating command source init.tcl - while executing "source init.tcl"
  308. // Tcl Message: 1
  309. // Tcl Message: ERROR: [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl] - while executing "source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]" ERROR: [Ip 78-89] Error in evaluating command source init.tcl - while executing "source init.tcl"
  310. // Tcl Message: 1
  311. // Tcl Message: ERROR: [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl] - while executing "source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]" ERROR: [Ip 78-89] Error in evaluating command source init.tcl - while executing "source init.tcl"
  312. // Tcl Message: 1
  313. // Tcl Message: ERROR: [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl] - while executing "source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]" ERROR: [Ip 78-89] Error in evaluating command source init.tcl - while executing "source init.tcl"
  314. // Tcl Message: 1
  315. // Tcl Message: ERROR: [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl] - while executing "source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]" ERROR: [Ip 78-89] Error in evaluating command source init.tcl - while executing "source init.tcl"
  316. // Tcl Message: 1
  317. // TclEventType: RSB_CHANGE_CURRENT_DIAGRAM
  318. // TclEventType: RSB_SCRIPT_TASK
  319. // TclEventType: RSB_CHANGE_CURRENT_DIAGRAM
  320. // Tcl Message: INFO: [BD 41-1808] Open Block Design has been cancelled. INFO: [Common 17-344] 'open_bd_design' was cancelled
  321. // CommandFailedException: ERROR: [Common 17-69] Command failed:
  322. dismissDialog("Open Block Design"); // bA
  323. selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f
  324. dismissDialog("Critical Messages"); // a
  325. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, regler(Behavioral) (pwm_test.vhd)]", 2, false); // D
  326. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources]", 0, true); // D - Node
  327. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources]", 0, true, false, false, false, true, false); // D - Popup Trigger - Node
  328. selectButton(PAResourceCommand.PACommandNames_ADD_SOURCES, "Sources_add_sources"); // D
  329. // Run Command: PAResourceCommand.PACommandNames_ADD_SOURCES
  330. // Elapsed time: 12 seconds
  331. selectButton("NEXT", "Next >"); // JButton
  332. selectButton(PAResourceQtoS.SrcChooserPanel_ADD_OR_CREATE_SOURCE_FILE, "Add"); // D
  333. selectMenuItem(PAResourceQtoS.SrcChooserPanel_ADD_HDL_AND_NETLIST_FILES_TO_YOUR_PROJECT, "Add Files..."); // ao
  334. // Elapsed time: 12 seconds
  335. String[] filenames31467 = {"C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Bibliotheken/fixedPoint/fixed_float_types.vhdl", "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Bibliotheken/fixedPoint/fixed_generic_pkg-body.vhdl", "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Bibliotheken/fixedPoint/fixed_generic_pkg.vhdl", "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Bibliotheken/fixedPoint/fixed_pkg.vhdl"};
  336. setFileChooser(filenames31467);
  337. // Elapsed time: 28 seconds
  338. selectCheckBox(PAResourceQtoS.SrcChooserPanel_MAKE_LOCAL_COPY_OF_THESE_FILES_INTO, "Copy sources into project", true); // g: TRUE
  339. selectButton("FINISH", "Finish"); // JButton
  340. // 'g' command handler elapsed time: 65 seconds
  341. dismissDialog("Add Sources"); // c
  342. // TclEventType: DG_GRAPH_STALE
  343. // TclEventType: FILE_SET_CHANGE
  344. // Tcl Message: import_files -norecurse {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Bibliotheken/fixedPoint/fixed_pkg.vhdl C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Bibliotheken/fixedPoint/fixed_float_types.vhdl C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Bibliotheken/fixedPoint/fixed_generic_pkg.vhdl C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Bibliotheken/fixedPoint/fixed_generic_pkg-body.vhdl}
  345. // TclEventType: DG_GRAPH_STALE
  346. // TclEventType: FILE_SET_CHANGE
  347. // Tcl Message: update_compile_order -fileset sources_1
  348. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files]", 1, true); // D - Node
  349. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files]", 1); // D
  350. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, fixed_generic_pkg-body.vhdl]", 2, false); // D
  351. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1 (design_1.bd)]", 4, false); // D
  352. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, fixed_generic_pkg-body.vhdl]", 2, false); // D
  353. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, fixed_generic_pkg-body.vhdl]", 2, false, false, false, false, false, true); // D - Double Click
  354. // WARNING: HEventQueue.dispatchEvent() is taking 1943 ms.
  355. dismissDialog("Opening Editor"); // bA
  356. // Elapsed time: 38 seconds
  357. selectTab(RDIResource.PropertiesView_TABBED_PANE, (HResource) null, "Properties", 1); // i
  358. selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "Libraries", 2); // i
  359. // Elapsed time: 19 seconds
  360. selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "Libraries", 2); // i
  361. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL, xil_defaultlib, Unreferenced]", 4); // D
  362. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL, xil_defaultlib, Unreferenced, fixed_float_types.vhdl]", 8, false); // D
  363. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL, xil_defaultlib, Unreferenced, fixed_pkg.vhdl]", 7, false); // D
  364. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL, xil_defaultlib, Unreferenced, fixed_pkg.vhdl]", 7, false); // D
  365. // Elapsed time: 10 seconds
  366. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL, xil_defaultlib, Unreferenced, fixed_pkg.vhdl]", 7, false); // D
  367. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL, xil_defaultlib, Unreferenced, fixed_float_types.vhdl]", 8, false); // D
  368. selectTab(RDIResource.PropertiesView_TABBED_PANE, (HResource) null, "General", 0); // i
  369. selectButton(PAResourceQtoS.SrcFilePropPanels_TYPE, (String) null); // s
  370. selectComboBox(PAResourceQtoS.SrcFileTypeComboBox_SOURCE_FILE_TYPE, "VHDL 2008", 4); // cj
  371. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  372. // TclEventType: FILE_SET_CHANGE
  373. // Tcl Message: set_property file_type {VHDL 2008} [get_files C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/imports/fixedPoint/fixed_float_types.vhdl]
  374. dismissDialog("Set Type"); // ac
  375. selectButton(PAResourceQtoS.SrcFilePropPanels_LIBRARY, (String) null); // s
  376. setText(PAResourceQtoS.SpecifyLibraryDialog_LIBRARY_NAME, "ieee_proposed"); // k
  377. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  378. // TclEventType: DG_GRAPH_STALE
  379. // TclEventType: FILE_SET_CHANGE
  380. // Tcl Message: set_property library ieee_proposed [get_files C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/imports/fixedPoint/fixed_float_types.vhdl]
  381. dismissDialog("Set Library"); // ad
  382. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL 2008]", 10); // D
  383. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL, xil_defaultlib, Unreferenced, fixed_generic_pkg-body.vhdl]", 9, false); // D
  384. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL, xil_defaultlib, Unreferenced, fixed_pkg.vhdl]", 7, false, true, true, false, false, false); // D - Shift Key - Control Key
  385. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL, xil_defaultlib, Unreferenced, fixed_generic_pkg.vhdl]", 8, false, false, true, false, false, false); // D - Control Key
  386. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL, xil_defaultlib, Unreferenced, fixed_generic_pkg-body.vhdl]", 9, false, false, true, false, false, false); // D - Control Key
  387. selectButton(PAResourceQtoS.SrcFilePropPanels_TYPE, (String) null); // s
  388. selectComboBox(PAResourceQtoS.SrcFileTypeComboBox_SOURCE_FILE_TYPE, "VHDL 2008", 4); // cj
  389. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  390. // TclEventType: FILE_SET_CHANGE
  391. // Tcl Message: set_property file_type {VHDL 2008} [get_files C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/imports/fixedPoint/fixed_pkg.vhdl]
  392. dismissDialog("Set Type"); // ac
  393. selectButton(PAResourceQtoS.SrcFilePropPanels_LIBRARY, (String) null); // s
  394. selectComboBox(PAResourceQtoS.SpecifyLibraryDialog_LIBRARY_NAME, "ieee_proposed", 0); // K
  395. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  396. // TclEventType: DG_GRAPH_STALE
  397. // TclEventType: FILE_SET_CHANGE
  398. // Tcl Message: set_property library ieee_proposed [get_files C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/imports/fixedPoint/fixed_pkg.vhdl]
  399. dismissDialog("Set Library"); // ad
  400. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL 2008, ieee_proposed, Unreferenced, fixed_float_types.vhdl]", 13, false); // D
  401. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL 2008, ieee_proposed, Unreferenced, fixed_pkg.vhdl]", 12, false); // D
  402. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL 2008, ieee_proposed, Unreferenced, fixed_float_types.vhdl]", 13, false); // D
  403. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL, xil_defaultlib, Unreferenced, fixed_generic_pkg-body.vhdl]", 8, false); // D
  404. selectButton(PAResourceQtoS.SrcFilePropPanels_TYPE, (String) null); // s
  405. selectComboBox(PAResourceQtoS.SrcFileTypeComboBox_SOURCE_FILE_TYPE, "VHDL 2008", 4); // cj
  406. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  407. // TclEventType: FILE_SET_CHANGE
  408. // Tcl Message: set_property file_type {VHDL 2008} [get_files C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/imports/fixedPoint/fixed_generic_pkg-body.vhdl]
  409. dismissDialog("Set Type"); // ac
  410. selectButton(PAResourceQtoS.SrcFilePropPanels_LIBRARY, (String) null); // s
  411. selectComboBox(PAResourceQtoS.SpecifyLibraryDialog_LIBRARY_NAME, "ieee_proposed", 0); // K
  412. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  413. // TclEventType: DG_GRAPH_STALE
  414. // TclEventType: FILE_SET_CHANGE
  415. // Tcl Message: set_property library ieee_proposed [get_files C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/imports/fixedPoint/fixed_generic_pkg-body.vhdl]
  416. dismissDialog("Set Library"); // ad
  417. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL, xil_defaultlib, Unreferenced, fixed_generic_pkg.vhdl]", 7, false); // D
  418. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, VHDL, xil_defaultlib, Unreferenced, fixed_generic_pkg.vhdl]", 7, false); // D
  419. selectButton(PAResourceQtoS.SrcFilePropPanels_TYPE, (String) null); // s
  420. selectComboBox(PAResourceQtoS.SrcFileTypeComboBox_SOURCE_FILE_TYPE, "VHDL 2008", 4); // cj
  421. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  422. // TclEventType: FILE_SET_CHANGE
  423. // Tcl Message: set_property file_type {VHDL 2008} [get_files C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/imports/fixedPoint/fixed_generic_pkg.vhdl]
  424. dismissDialog("Set Type"); // ac
  425. selectButton(PAResourceQtoS.SrcFilePropPanels_LIBRARY, (String) null); // s
  426. selectComboBox(PAResourceQtoS.SpecifyLibraryDialog_LIBRARY_NAME, "ieee_proposed", 0); // K
  427. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  428. // TclEventType: DG_GRAPH_STALE
  429. // TclEventType: FILE_SET_CHANGE
  430. // Tcl Message: set_property library ieee_proposed [get_files C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/imports/fixedPoint/fixed_generic_pkg.vhdl]
  431. dismissDialog("Set Library"); // ad
  432. // Elapsed time: 63 seconds
  433. selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "IP Sources", 1); // i
  434. selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "Hierarchy", 0); // i
  435. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, wendeTangente(Behavioral) (wendeTangente.vhd)]", 5, false); // D
  436. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, wendeTangente(Behavioral) (wendeTangente.vhd)]", 5, false); // D
  437. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, wendeTangente(Behavioral) (wendeTangente.vhd)]", 5, false, false, false, false, false, true); // D - Double Click
  438. dismissDialog("Opening Editor"); // bA
  439. selectCodeEditor("wendeTangente.vhd", 464, 183); // be
  440. selectCodeEditor("wendeTangente.vhd", 17, 226); // be
  441. selectCodeEditor("wendeTangente.vhd", 185, 265); // be
  442. selectCodeEditor("wendeTangente.vhd", 244, 194); // be
  443. // Elapsed time: 44 seconds
  444. selectCodeEditor("wendeTangente.vhd", 248, 258); // be
  445. typeControlKey((HResource) null, "wendeTangente.vhd", 'v'); // be
  446. selectCodeEditor("wendeTangente.vhd", 558, 347); // be
  447. // TclEventType: DG_GRAPH_STALE
  448. // TclEventType: FILE_SET_CHANGE
  449. selectCodeEditor("wendeTangente.vhd", 70, 366); // be
  450. selectCodeEditor("wendeTangente.vhd", 78, 227); // be
  451. selectCodeEditor("wendeTangente.vhd", 28, 384); // be
  452. // Elapsed time: 16 seconds
  453. selectCodeEditor("wendeTangente.vhd", 360, 336); // be
  454. selectCodeEditor("wendeTangente.vhd", 2, 384); // be
  455. selectCodeEditor("wendeTangente.vhd", 134, 428); // be
  456. selectCodeEditor("wendeTangente.vhd", 101, 87); // be
  457. selectCodeEditor("wendeTangente.vhd", 95, 98); // be
  458. selectCodeEditor("wendeTangente.vhd", 95, 98, false, false, false, false, true); // be - Double Click
  459. selectCodeEditor("wendeTangente.vhd", 102, 108); // be
  460. selectCodeEditor("wendeTangente.vhd", 102, 108, false, false, false, false, true); // be - Double Click
  461. selectCodeEditor("wendeTangente.vhd", 200, 90); // be
  462. selectCodeEditor("wendeTangente.vhd", 210, 90); // be
  463. typeControlKey((HResource) null, "wendeTangente.vhd", 'c'); // be
  464. selectCodeEditor("wendeTangente.vhd", 217, 82); // be
  465. selectCodeEditor("wendeTangente.vhd", 204, 95); // be
  466. typeControlKey((HResource) null, "wendeTangente.vhd", 'v'); // be
  467. selectCodeEditor("wendeTangente.vhd", 27, 112); // be
  468. selectCodeEditor("wendeTangente.vhd", 82, 110); // be
  469. selectCodeEditor("wendeTangente.vhd", 140, 229); // be
  470. selectCodeEditor("wendeTangente.vhd", 140, 229, false, false, false, false, true); // be - Double Click
  471. selectCodeEditor("wendeTangente.vhd", 261, 228); // be
  472. typeControlKey((HResource) null, "wendeTangente.vhd", 'c'); // be
  473. selectCodeEditor("wendeTangente.vhd", 150, 87); // be
  474. selectCodeEditor("wendeTangente.vhd", 150, 85, false, false, false, false, true); // be - Double Click
  475. typeControlKey((HResource) null, "wendeTangente.vhd", 'v'); // be
  476. selectCodeEditor("wendeTangente.vhd", 168, 98); // be
  477. selectCodeEditor("wendeTangente.vhd", 168, 98, false, false, false, false, true); // be - Double Click
  478. selectCodeEditor("wendeTangente.vhd", 171, 111); // be
  479. selectCodeEditor("wendeTangente.vhd", 171, 111, false, false, false, false, true); // be - Double Click
  480. typeControlKey((HResource) null, "wendeTangente.vhd", 'v'); // be
  481. selectCodeEditor("wendeTangente.vhd", 179, 121); // be
  482. selectCodeEditor("wendeTangente.vhd", 103, 200); // be
  483. selectCodeEditor("wendeTangente.vhd", 149, 132); // be
  484. selectCodeEditor("wendeTangente.vhd", 149, 132, false, false, false, false, true); // be - Double Click
  485. typeControlKey((HResource) null, "wendeTangente.vhd", 'v'); // be
  486. selectCodeEditor("wendeTangente.vhd", 263, 288); // be
  487. // TclEventType: DG_GRAPH_STALE
  488. // TclEventType: FILE_SET_CHANGE
  489. selectCodeEditor("wendeTangente.vhd", 250, 368); // be
  490. selectCodeEditor("wendeTangente.vhd", 0, 230); // be
  491. selectCodeEditor("wendeTangente.vhd", 334, 337); // be
  492. // [GUI Memory]: 163 MB (+3607kb) [00:43:11]
  493. selectCodeEditor("wendeTangente.vhd", 67, 312); // be
  494. selectCodeEditor("wendeTangente.vhd", 67, 312, false, false, false, false, true); // be - Double Click
  495. selectCodeEditor("wendeTangente.vhd", 321, 364); // be
  496. selectCodeEditor("wendeTangente.vhd", 562, 220); // be
  497. selectCodeEditor("wendeTangente.vhd", 333, 229); // be
  498. selectCodeEditor("wendeTangente.vhd", 287, 237); // be
  499. typeControlKey((HResource) null, "wendeTangente.vhd", 'c'); // be
  500. selectCodeEditor("wendeTangente.vhd", 313, 95); // be
  501. typeControlKey((HResource) null, "wendeTangente.vhd", 'v'); // be
  502. selectCodeEditor("wendeTangente.vhd", 302, 273); // be
  503. // TclEventType: DG_GRAPH_STALE
  504. // TclEventType: FILE_SET_CHANGE
  505. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "fixed_generic_pkg-body.vhdl", 4); // m
  506. closeView(PAResourceOtoP.PAViews_CODE, "Code"); // D
  507. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 14, false); // n
  508. // Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
  509. selectButton(PAResourceQtoS.SaveProjectUtils_SAVE, "Save"); // a
  510. // TclEventType: DG_GRAPH_STALE
  511. dismissDialog("Save Project"); // al
  512. // TclEventType: RUN_MODIFY
  513. // TclEventType: DG_GRAPH_STALE
  514. // TclEventType: RUN_MODIFY
  515. // TclEventType: FILE_SET_CHANGE
  516. // TclEventType: RUN_RESET
  517. // TclEventType: FILE_SET_CHANGE
  518. // TclEventType: RUN_RESET
  519. // TclEventType: RUN_STATUS_CHANGE
  520. // TclEventType: RUN_RESET
  521. // Tcl Message: reset_run synth_1
  522. // TclEventType: RUN_RESET
  523. // TclEventType: RUN_STATUS_CHANGE
  524. // TclEventType: RUN_RESET
  525. // TclEventType: RUN_MODIFY
  526. // Tcl Message: INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  527. // TclEventType: FILE_SET_CHANGE
  528. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  529. dismissDialog("Launch Runs"); // f
  530. // TclEventType: RUN_LAUNCH
  531. // Tcl Message: launch_runs synth_1 -jobs 6
  532. // TclEventType: RUN_MODIFY
  533. // Tcl Message: [Fri May 13 12:17:21 2022] Launched synth_1... Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  534. // 'k' command handler elapsed time: 4 seconds
  535. dismissDialog("Starting Design Runs"); // bA
  536. // TclEventType: RUN_STATUS_CHANGE
  537. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Vivado Commands, General Messages, [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. - . while executing. source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. ]", 2, true); // ah - Node
  538. // TclEventType: RUN_COMPLETED
  539. // TclEventType: RUN_STATUS_CHANGE
  540. // TclEventType: RUN_STEP_COMPLETED
  541. // Elapsed time: 69 seconds
  542. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  543. // Run Command: PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION
  544. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  545. dismissDialog("Launch Runs"); // f
  546. // TclEventType: RUN_LAUNCH
  547. // TclEventType: RUN_MODIFY
  548. // Tcl Message: launch_runs impl_1 -jobs 6
  549. // Tcl Message: [Fri May 13 12:18:35 2022] Launched impl_1... Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/impl_1/runme.log
  550. dismissDialog("Starting Design Runs"); // bA
  551. // TclEventType: RUN_STATUS_CHANGE
  552. // TclEventType: RUN_STEP_COMPLETED
  553. // Elapsed time: 28 seconds
  554. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Vivado Commands, General Messages, [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. - . while executing. source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. ]", 2, true); // ah - Node
  555. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Vivado Commands, General Messages, [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. - . while executing. source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. , [Ip 78-89] Error in evaluating command source init.tcl. - . while executing. source init.tcl. ]", 3, false, false, false, false, false, true); // ah - Double Click
  556. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Vivado Commands, General Messages, [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. - . while executing. source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. , [Ip 78-89] Error in evaluating command source init.tcl. - . while executing. source init.tcl. ]", 3, false); // ah
  557. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Vivado Commands, General Messages, [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. - . while executing. source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. , [Ip 78-89] Error in evaluating command source init.tcl. - . while executing. source init.tcl. ]", 3, false, false, false, false, false, true); // ah - Double Click
  558. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Vivado Commands, General Messages, [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. - . while executing. source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. , [Ip 78-89] Error in evaluating command source init.tcl. - . while executing. source init.tcl. ]", 3, false); // ah
  559. // TclEventType: RUN_FAILED
  560. // TclEventType: RUN_STATUS_CHANGE
  561. // TclEventType: RUN_STEP_COMPLETED
  562. // Elapsed time: 138 seconds
  563. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  564. // Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW
  565. dismissDialog("Implementation Failed"); // ag
  566. // Elapsed time: 14 seconds
  567. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, (String) null, 5, true); // ah - Node
  568. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, (String) null, 5, true, false, false, false, false, true); // ah - Double Click - Node
  569. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, (String) null, 5, true); // ah - Node
  570. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, (String) null, 5, true, false, false, false, false, true); // ah - Double Click - Node
  571. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 2); // m
  572. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1 (design_1.bd)]", 2, false); // D
  573. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1 (design_1.bd)]", 2, false, false, false, false, false, true); // D - Double Click
  574. // TclEventType: RSB_SCRIPT_TASK
  575. // TclEventType: RSB_CHANGE_CURRENT_DIAGRAM
  576. // TclEventType: RSB_LOCK_CHANGE
  577. // TclEventType: RSB_SCRIPT_TASK
  578. // TclEventType: RSB_OPEN_DIAGRAM
  579. // Tcl Message: open_bd_design {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/bd/design_1/design_1.bd}
  580. // Tcl Message: Reading block design file <C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/bd/design_1/design_1.bd>... Successfully read diagram <design_1> from block design file <C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/bd/design_1/design_1.bd>
  581. closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
  582. dismissDialog("Open Block Design"); // bA
  583. selectTree(PAResourceQtoS.SystemTreeView_SYSTEM_TREE, "[design_1]", 0, false); // a
  584. selectTree(PAResourceQtoS.SystemTreeView_SYSTEM_TREE, "[design_1]", 0, false, false, false, false, false, true); // a - Double Click
  585. selectTab((HResource) null, (HResource) null, "Sources", 0); // aL
  586. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, regler(Behavioral) (pwm_test.vhd)]", 3, false); // D
  587. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, regler(Behavioral) (pwm_test.vhd)]", 3, false, false, false, false, false, true); // D - Double Click
  588. dismissDialog("Opening Editor"); // bA
  589. // Elapsed time: 10 seconds
  590. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, pt1(Behavioral) (pt1.vhd)]", 4, false); // D
  591. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, pt1(Behavioral) (pt1.vhd)]", 4, false, false, false, false, false, true); // D - Double Click
  592. // WARNING: HEventQueue.dispatchEvent() is taking 1097 ms.
  593. dismissDialog("Opening Editor"); // bA
  594. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, wendeTangente(Behavioral) (wendeTangente.vhd)]", 5, false); // D
  595. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, wendeTangente(Behavioral) (wendeTangente.vhd)]", 5, false, false, false, false, false, true); // D - Double Click
  596. dismissDialog("Opening Editor"); // bA
  597. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 2); // m
  598. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 9); // D
  599. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1]", 10); // D
  600. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, pwm_test_db(Behavioral) (pwm_test_db.vhd)]", 12, true); // D - Node
  601. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, pwm_test_db(Behavioral) (pwm_test_db.vhd)]", 12, true, false, false, false, false, true); // D - Double Click - Node
  602. // WARNING: HEventQueue.dispatchEvent() is taking 1102 ms.
  603. dismissDialog("Opening Editor"); // bA
  604. // Elapsed time: 10 seconds
  605. selectCodeEditor("pwm_test_db.vhd", 267, 259); // be
  606. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "wendeTangente.vhd", 3); // m
  607. selectCodeEditor("wendeTangente.vhd", 1, 112); // be
  608. typeControlKey((HResource) null, "wendeTangente.vhd", 'c'); // be
  609. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 4); // m
  610. // Elapsed time: 12 seconds
  611. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 5); // m
  612. selectCodeEditor("pwm_test_db.vhd", 28, 264); // be
  613. selectCodeEditor("pwm_test_db.vhd", 10, 292); // be
  614. typeControlKey((HResource) null, "pwm_test_db.vhd", 'v'); // be
  615. selectCodeEditor("pwm_test_db.vhd", 20, 242); // be
  616. selectCodeEditor("pwm_test_db.vhd", 20, 242, false, false, false, false, true); // be - Double Click
  617. selectCodeEditor("pwm_test_db.vhd", 91, 322); // be
  618. selectCodeEditor("pwm_test_db.vhd", 74, 316); // be
  619. selectCodeEditor("pwm_test_db.vhd", 74, 316, false, false, false, false, true); // be - Double Click
  620. selectCodeEditor("pwm_test_db.vhd", 247, 299); // be
  621. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "wendeTangente.vhd", 3); // m
  622. selectCodeEditor("wendeTangente.vhd", 193, 216); // be
  623. selectCodeEditor("wendeTangente.vhd", 245, 128); // be
  624. typeControlKey((HResource) null, "wendeTangente.vhd", 'c'); // be
  625. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 5); // m
  626. selectCodeEditor("pwm_test_db.vhd", 229, 126); // be
  627. typeControlKey((HResource) null, "pwm_test_db.vhd", 'v'); // be
  628. selectCodeEditor("pwm_test_db.vhd", 380, 150); // be
  629. // Elapsed time: 11 seconds
  630. selectCodeEditor("pwm_test_db.vhd", 306, 90); // be
  631. selectCodeEditor("pwm_test_db.vhd", 76, 54); // be
  632. typeControlKey((HResource) null, "pwm_test_db.vhd", 'c'); // be
  633. selectCodeEditor("pwm_test_db.vhd", 295, 221); // be
  634. selectCodeEditor("pwm_test_db.vhd", 24, 267); // be
  635. selectCodeEditor("pwm_test_db.vhd", 20, 265, false, false, false, false, true); // be - Double Click
  636. selectCodeEditor("pwm_test_db.vhd", 20, 265); // be
  637. typeControlKey(null, null, 'z');
  638. typeControlKey(null, null, 'z');
  639. // Elapsed time: 12 seconds
  640. selectCodeEditor("pwm_test_db.vhd", 176, 19); // be
  641. selectCodeEditor("pwm_test_db.vhd", 115, 302); // be
  642. selectCodeEditor("pwm_test_db.vhd", 176, 245); // be
  643. typeControlKey((HResource) null, "pwm_test_db.vhd", 'c'); // be
  644. selectCodeEditor("pwm_test_db.vhd", 141, 268); // be
  645. typeControlKey((HResource) null, "pwm_test_db.vhd", 'v'); // be
  646. // Elapsed time: 32 seconds
  647. selectCodeEditor("pwm_test_db.vhd", 359, 285); // be
  648. selectCodeEditor("pwm_test_db.vhd", 210, 205); // be
  649. typeControlKey((HResource) null, "pwm_test_db.vhd", 'v'); // be
  650. selectCodeEditor("pwm_test_db.vhd", 328, 212); // be
  651. selectCodeEditor("pwm_test_db.vhd", 329, 210, false, false, false, false, true); // be - Double Click
  652. selectCodeEditor("pwm_test_db.vhd", 378, 252); // be
  653. selectCodeEditor("pwm_test_db.vhd", 435, 213); // be
  654. selectCodeEditor("pwm_test_db.vhd", 444, 207); // be
  655. selectCodeEditor("pwm_test_db.vhd", 448, 208); // be
  656. typeControlKey((HResource) null, "pwm_test_db.vhd", 'c'); // be
  657. selectCodeEditor("pwm_test_db.vhd", 425, 218); // be
  658. typeControlKey((HResource) null, "pwm_test_db.vhd", 'v'); // be
  659. typeControlKey((HResource) null, "pwm_test_db.vhd", 'v'); // be
  660. selectCodeEditor("pwm_test_db.vhd", 450, 249); // be
  661. selectCodeEditor("pwm_test_db.vhd", 52, 246); // be
  662. selectCodeEditor("pwm_test_db.vhd", 223, 252); // be
  663. selectCodeEditor("pwm_test_db.vhd", 325, 231); // be
  664. selectCodeEditor("pwm_test_db.vhd", 325, 231, false, false, false, false, true); // be - Double Click
  665. selectCodeEditor("pwm_test_db.vhd", 357, 226); // be
  666. selectCodeEditor("pwm_test_db.vhd", 318, 279); // be
  667. selectCodeEditor("pwm_test_db.vhd", 325, 273); // be
  668. selectCodeEditor("pwm_test_db.vhd", 52, 206); // be
  669. selectCodeEditor("pwm_test_db.vhd", 56, 201); // be
  670. // Elapsed time: 25 seconds
  671. selectCodeEditor("pwm_test_db.vhd", 135, 223); // be
  672. selectCodeEditor("pwm_test_db.vhd", 135, 223, false, false, false, false, true); // be - Double Click
  673. // Elapsed time: 46 seconds
  674. selectCodeEditor("pwm_test_db.vhd", 249, 352); // be
  675. selectCodeEditor("pwm_test_db.vhd", 190, 413); // be
  676. selectCodeEditor("pwm_test_db.vhd", 121, 77); // be
  677. selectCodeEditor("pwm_test_db.vhd", 121, 77, false, false, false, false, true); // be - Double Click
  678. typeControlKey((HResource) null, "pwm_test_db.vhd", 'c'); // be
  679. selectCodeEditor("pwm_test_db.vhd", 172, 280); // be
  680. selectCodeEditor("pwm_test_db.vhd", 172, 280, false, false, false, false, true); // be - Double Click
  681. typeControlKey((HResource) null, "pwm_test_db.vhd", 'v'); // be
  682. selectCodeEditor("pwm_test_db.vhd", 142, 318); // be
  683. // Elapsed time: 11 seconds
  684. selectCodeEditor("pwm_test_db.vhd", 56, 181); // be
  685. selectCodeEditor("pwm_test_db.vhd", 102, 197); // be
  686. selectCodeEditor("pwm_test_db.vhd", 138, 247); // be
  687. // Elapsed time: 39 seconds
  688. selectCodeEditor("pwm_test_db.vhd", 222, 193); // be
  689. selectCodeEditor("pwm_test_db.vhd", 221, 293); // be
  690. selectCodeEditor("pwm_test_db.vhd", 57, 247); // be
  691. selectCodeEditor("pwm_test_db.vhd", 208, 212); // be
  692. // Elapsed time: 20 seconds
  693. selectCodeEditor("pwm_test_db.vhd", 289, 129); // be
  694. // TclEventType: DG_GRAPH_STALE
  695. // TclEventType: FILE_SET_CHANGE
  696. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 14, false); // n
  697. // Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
  698. selectButton("OptionPane.button", "OK"); // JButton
  699. // TclEventType: RUN_MODIFY
  700. // TclEventType: RUN_RESET
  701. // TclEventType: RUN_STATUS_CHANGE
  702. // TclEventType: RUN_RESET
  703. // TclEventType: RUN_STATUS_CHANGE
  704. // TclEventType: RUN_RESET
  705. // TclEventType: RUN_MODIFY
  706. // Tcl Message: reset_run synth_1
  707. // Tcl Message: INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  708. // TclEventType: FILE_SET_CHANGE
  709. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  710. dismissDialog("Launch Runs"); // f
  711. // TclEventType: RUN_LAUNCH
  712. // TclEventType: RUN_MODIFY
  713. // Tcl Message: launch_runs synth_1 -jobs 6
  714. // Tcl Message: [Fri May 13 12:28:24 2022] Launched synth_1... Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  715. // 'k' command handler elapsed time: 4 seconds
  716. dismissDialog("Starting Design Runs"); // bA
  717. // TclEventType: RUN_STATUS_CHANGE
  718. // TclEventType: RUN_COMPLETED
  719. // TclEventType: RUN_STATUS_CHANGE
  720. // TclEventType: RUN_STEP_COMPLETED
  721. // Elapsed time: 59 seconds
  722. selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a
  723. dismissDialog("Synthesis Completed"); // ag
  724. selectCodeEditor("pwm_test_db.vhd", 332, 290); // be
  725. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // n
  726. selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ao
  727. // Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
  728. // TclEventType: LAUNCH_SIM
  729. // TclEventType: FILE_SET_OPTIONS_CHANGE
  730. // Tcl Message: launch_simulation
  731. // Tcl Message: Command: launch_simulation
  732. // Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  733. // Tcl Message: INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  734. // Tcl Message: "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  735. // TclEventType: LAUNCH_SIM_LOG
  736. // Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  737. // Tcl Message: "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L ieee_proposed -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  738. // TclEventType: LAUNCH_SIM
  739. // Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '5' seconds INFO: [USF-XSim-99] Step results log file:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/elaborate.log'
  740. // Tcl Message: ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/elaborate.log' file for more information. ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
  741. // Tcl Message: launch_simulation: Time (s): cpu = 00:00:00 ; elapsed = 00:00:07 . Memory (MB): peak = 1580.359 ; gain = 0.000
  742. // Tcl Message: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
  743. // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
  744. // HOptionPane Error: 'ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. (Run Simulation)'
  745. // 'd' command handler elapsed time: 13 seconds
  746. // Elapsed time: 13 seconds
  747. selectButton("OptionPane.button", "OK"); // JButton
  748. selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f
  749. dismissDialog("Critical Messages"); // a
  750. selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 620, 122); // dT
  751. selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 621, 122, false, false, false, false, true); // dT - Double Click
  752. // Elapsed time: 125 seconds
  753. selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 365, 91); // dT
  754. selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 389, 88); // dT
  755. selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 659, 97); // dT
  756. selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 174, 111); // dT
  757. selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 289, 113); // dT
  758. selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 275, 172); // dT
  759. selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 275, 172, false, false, false, false, true); // dT - Double Click
  760. // Elapsed time: 26 seconds
  761. selectButton(RDIResource.TclConsoleView_CLEAR_ALL_OUTPUT_IN_TCL_CONSOLE, "Tcl Console_remove"); // D
  762. selectButton("OptionPane.button", "Yes"); // JButton
  763. selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 554, 99); // dT
  764. selectCodeEditor("pwm_test_db.vhd", 222, 436); // be
  765. selectCodeEditor("pwm_test_db.vhd", 249, 331); // be
  766. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // n
  767. selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ao
  768. // Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
  769. // TclEventType: LAUNCH_SIM
  770. // TclEventType: FILE_SET_OPTIONS_CHANGE
  771. // Tcl Message: launch_simulation
  772. // Tcl Message: Command: launch_simulation
  773. // Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  774. // Tcl Message: "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  775. // TclEventType: LAUNCH_SIM_LOG
  776. // Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  777. // Tcl Message: "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L ieee_proposed -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  778. // TclEventType: LAUNCH_SIM
  779. // Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '4' seconds INFO: [USF-XSim-99] Step results log file:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/elaborate.log'
  780. // Tcl Message: ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/elaborate.log' file for more information. ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
  781. // Tcl Message: launch_simulation: Time (s): cpu = 00:00:00 ; elapsed = 00:00:05 . Memory (MB): peak = 1580.359 ; gain = 0.000
  782. // Tcl Message: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
  783. // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
  784. // HOptionPane Error: 'ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. (Run Simulation)'
  785. // 'd' command handler elapsed time: 34 seconds
  786. // Elapsed time: 34 seconds
  787. selectButton("OptionPane.button", "OK"); // JButton
  788. // Elapsed time: 10 seconds
  789. selectButton(PAResourceAtoD.CmdMsgDialog_OPEN_MESSAGES_VIEW, "Open Messages View"); // a
  790. // Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW
  791. dismissDialog("Critical Messages"); // a
  792. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Vivado Commands, General Messages, [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. - . while executing. source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. ]", 2, true); // ah - Node
  793. // Elapsed time: 14 seconds
  794. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Utility Sources]", 18); // D
  795. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, Waveform Configuration File]", 17); // D
  796. selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "IP Sources", 1); // i
  797. selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "Libraries", 2); // i
  798. // HMemoryUtils.trashcanNow. Engine heap size: 1,307 MB. GUI used memory: 85 MB. Current time: 5/13/22, 12:33:48 PM CEST
  799. selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "Compile Order", 3); // i
  800. selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "IP Sources", 1); // i
  801. selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "Hierarchy", 0); // i
  802. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, pwm_test_db(Behavioral) (pwm_test_db.vhd)]", 12, true); // D - Node
  803. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, pwm_test_db(Behavioral) (pwm_test_db.vhd)]", 12, true, false, false, false, true, false); // D - Popup Trigger - Node
  804. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, pwm_test_db(Behavioral) (pwm_test_db.vhd)]", 12, true); // D - Node
  805. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, pwm_test_db(Behavioral) (pwm_test_db.vhd)]", 12, true); // D - Node
  806. selectTab(RDIResource.PropertiesView_TABBED_PANE, (HResource) null, "Properties", 1); // i
  807. selectTab(RDIResource.PropertiesView_TABBED_PANE, (HResource) null, "General", 0); // i
  808. selectButton(PAResourceQtoS.SrcFilePropPanels_TYPE, (String) null); // s
  809. selectComboBox(PAResourceQtoS.SrcFileTypeComboBox_SOURCE_FILE_TYPE, "VHDL 2008", 4); // cj
  810. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  811. // TclEventType: FILE_SET_CHANGE
  812. // Tcl Message: set_property file_type {VHDL 2008} [get_files C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd]
  813. dismissDialog("Set Type"); // ac
  814. // Elapsed time: 15 seconds
  815. selectCodeEditor("pwm_test_db.vhd", 488, 154); // be
  816. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 14, false); // n
  817. // Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
  818. selectButton("OptionPane.button", "Cancel"); // JButton
  819. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // n
  820. selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ao
  821. // Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
  822. // TclEventType: LAUNCH_SIM
  823. // TclEventType: FILE_SET_OPTIONS_CHANGE
  824. // Tcl Message: launch_simulation
  825. // Tcl Message: Command: launch_simulation
  826. // Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  827. // Tcl Message: "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  828. // TclEventType: LAUNCH_SIM_LOG
  829. // Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  830. // Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '1' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  831. // Tcl Message: "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L ieee_proposed -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  832. // TclEventType: LAUNCH_SIM
  833. // TclEventType: LOAD_FEATURE
  834. // Tcl Message: Built simulation snapshot pwm_test_db_behav
  835. // Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '4' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim
  836. // Tcl Message: with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  837. // Tcl Message: INFO: [USF-XSim-8] Loading simulator feature
  838. // TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
  839. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  840. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  841. // TclEventType: SIMULATION_UPDATE_STACKS
  842. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  843. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  844. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  845. // TclEventType: SIMULATION_UPDATE_STACKS
  846. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  847. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  848. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  849. // TclEventType: SIMULATION_UPDATE_STACKS
  850. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  851. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  852. // TclEventType: WAVEFORM_UPDATE_TITLE
  853. // TclEventType: WAVEFORM_OPEN_WCFG
  854. // Tcl Message: Time resolution is 1 ps
  855. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  856. // TclEventType: SIMULATION_UPDATE_STACKS
  857. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  858. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  859. // TclEventType: WAVEFORM_OPEN_WCFG
  860. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  861. // TclEventType: WAVEFORM_MODEL_EVENT
  862. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  863. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  864. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  865. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  866. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  867. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  868. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  869. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  870. // TclEventType: WAVEFORM_MODEL_EVENT
  871. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  872. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  873. // TclEventType: SIMULATION_OBJECT_TREE_RESTORED
  874. // HMemoryUtils.trashcanNow. Engine heap size: 1,307 MB. GUI used memory: 94 MB. Current time: 5/13/22, 12:34:41 PM CEST
  875. // TclEventType: WAVEFORM_MODEL_EVENT
  876. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  877. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  878. // TclEventType: WAVEFORM_MODEL_EVENT
  879. // TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
  880. // TclEventType: SIMULATION_CURRENT_STACK_CHANGED
  881. // TclEventType: SIMULATION_UPDATE_STACK_FRAMES
  882. // TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
  883. // TclEventType: SIMULATION_UPDATE_LOCALS
  884. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  885. // TclEventType: SIMULATION_UPDATE_STACKS
  886. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  887. // TclEventType: SIMULATION_STOPPED
  888. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  889. // Tcl Message: open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  890. // Tcl Message: source pwm_test_db.tcl
  891. // Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 5 s
  892. // Tcl Message: ERROR: Array sizes do not match, left array has 14 elements, right array has 15 elements Time: 0 ps Iteration: 0 Process: /pwm_test_db/uutWendeTangente/line__51 File: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/wendeTangente.vhd HDL Line: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/wendeTangente.vhd:55
  893. // Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  894. // Tcl Message: launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:09 . Memory (MB): peak = 1580.359 ; gain = 0.000
  895. // 'd' command handler elapsed time: 8 seconds
  896. dismissDialog("Run Simulation"); // e
  897. // TclEventType: WAVEFORM_MODEL_EVENT
  898. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  899. // TclEventType: WAVEFORM_MODEL_EVENT
  900. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 5); // m
  901. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 147, 182); // b
  902. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  903. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  904. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  905. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  906. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  907. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  908. // TclEventType: WAVEFORM_MOVE_CURSOR
  909. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  910. selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, clk_100]", 3, false); // l
  911. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  912. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  913. selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, cnt]", 4, false); // l
  914. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  915. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  916. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  917. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  918. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  919. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  920. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  921. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 99, 124); // b
  922. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  923. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  924. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  925. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  926. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  927. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  928. // TclEventType: WAVEFORM_MOVE_CURSOR
  929. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  930. selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "c[7:-6] ; UUUU ; Array", 2, "c[7:-6]", 0, true); // c - Node
  931. selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "b[7:-6] ; 0147 ; Array", 1, "b[7:-6]", 0, true); // c - Node
  932. selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "a[7:-6] ; 3f38 ; Array", 0, "a[7:-6]", 0, true); // c - Node
  933. selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "a[7:-6] ; 3f38 ; Array", 0, "a[7:-6]", 0, true, false, false, false, false, true); // c - Double Click - Node
  934. // TclEventType: SIMULATION_OPEN_SOURCE
  935. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  936. selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "b[7:-6] ; 0147 ; Array", 1, "b[7:-6]", 0, true); // c - Node
  937. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 5); // m
  938. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 158, 204); // b
  939. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  940. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  941. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  942. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  943. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  944. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  945. // TclEventType: WAVEFORM_MOVE_CURSOR
  946. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  947. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  948. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  949. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  950. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  951. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  952. selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, cnt]", 4, false, false, false, false, true, false); // l - Popup Trigger
  953. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  954. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  955. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  956. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  957. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  958. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  959. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  960. selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "c[7:-6] ; UUUU ; Array", 2, "c[7:-6]", 0, true); // c - Node
  961. selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "c[7:-6] ; UUUU ; Array", 2, "c[7:-6]", 0, true, false, false, false, true, false); // c - Popup Trigger - Node
  962. selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "a[7:-6] ; 3f38 ; Array", 0, "a[7:-6]", 0, true); // c - Node
  963. selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "a[7:-6] ; 3f38 ; Array", 0, "a[7:-6]", 0, true, false, false, false, true, false); // c - Popup Trigger - Node
  964. selectMenuItem((HResource) null, "Add to Wave Window"); // ao
  965. // Tcl Command: 'current_wave_config {pwm_test_db_func_synth.wcfg}'
  966. // Tcl Message: current_wave_config {pwm_test_db_func_synth.wcfg}
  967. // Tcl Message: pwm_test_db_func_synth.wcfg
  968. // TclEventType: WAVEFORM_MODEL_EVENT
  969. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  970. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  971. // TclEventType: WAVEFORM_UPDATE_TITLE
  972. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  973. // Tcl Message: add_wave {{/pwm_test_db/uutWendeTangente/a}}
  974. selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "b[7:-6] ; 0147 ; Array", 1, "b[7:-6]", 0, true); // c - Node
  975. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  976. selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "b[7:-6] ; 0147 ; Array", 1, "b[7:-6]", 0, true, false, false, false, true, false); // c - Popup Trigger - Node
  977. selectMenuItem((HResource) null, "Add to Wave Window"); // ao
  978. // Tcl Command: 'current_wave_config {pwm_test_db_func_synth.wcfg}'
  979. // Tcl Message: current_wave_config {pwm_test_db_func_synth.wcfg}
  980. // Tcl Message: pwm_test_db_func_synth.wcfg
  981. // TclEventType: WAVEFORM_MODEL_EVENT
  982. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  983. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  984. // TclEventType: WAVEFORM_UPDATE_TITLE
  985. // Tcl Message: add_wave {{/pwm_test_db/uutWendeTangente/b}}
  986. selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "c[7:-6] ; UUUU ; Array", 2, "c[7:-6]", 0, true); // c - Node
  987. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  988. // TclEventType: WAVEFORM_MODEL_EVENT
  989. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  990. // TclEventType: WAVEFORM_MODEL_EVENT
  991. selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "c[7:-6] ; UUUU ; Array", 2, "c[7:-6]", 0, true, false, false, false, true, false); // c - Popup Trigger - Node
  992. selectMenuItem((HResource) null, "Add to Wave Window"); // ao
  993. // Tcl Command: 'current_wave_config {pwm_test_db_func_synth.wcfg}'
  994. // Tcl Message: current_wave_config {pwm_test_db_func_synth.wcfg}
  995. // Tcl Message: pwm_test_db_func_synth.wcfg
  996. // TclEventType: WAVEFORM_MODEL_EVENT
  997. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  998. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  999. // TclEventType: WAVEFORM_UPDATE_TITLE
  1000. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  1001. // Tcl Message: add_wave {{/pwm_test_db/uutWendeTangente/c}}
  1002. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1003. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1004. // TclEventType: WAVEFORM_MODEL_EVENT
  1005. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1006. // TclEventType: WAVEFORM_MODEL_EVENT
  1007. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // n
  1008. selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ao
  1009. // Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
  1010. selectButton("OptionPane.button", "Yes"); // JButton
  1011. // TclEventType: WAVEFORM_UPDATE_TITLE
  1012. selectButton("OptionPane.button", "Save"); // JButton
  1013. // Tcl Message: save_wave_config {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg}
  1014. // TclEventType: WAVEFORM_CLOSE_WCFG
  1015. // TclEventType: SIMULATION_CLOSE_SIMULATION
  1016. // Tcl Message: close_sim
  1017. // Tcl Message: INFO: [Simtcl 6-16] Simulation closed
  1018. dismissDialog("Close"); // bA
  1019. // TclEventType: LAUNCH_SIM
  1020. // TclEventType: FILE_SET_OPTIONS_CHANGE
  1021. // Tcl Message: launch_simulation
  1022. // Tcl Message: Command: launch_simulation
  1023. // Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  1024. // Tcl Message: "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  1025. // TclEventType: LAUNCH_SIM_LOG
  1026. // Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '1' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1027. // Tcl Message: "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L ieee_proposed -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  1028. // TclEventType: LAUNCH_SIM
  1029. // Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim
  1030. // Tcl Message: with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  1031. // Tcl Message: INFO: [USF-XSim-8] Loading simulator feature
  1032. // TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
  1033. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  1034. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1035. // TclEventType: SIMULATION_UPDATE_STACKS
  1036. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1037. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1038. // Tcl Message: Time resolution is 1 ps
  1039. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1040. // TclEventType: SIMULATION_UPDATE_STACKS
  1041. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1042. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1043. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1044. // TclEventType: SIMULATION_UPDATE_STACKS
  1045. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1046. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1047. // TclEventType: WAVEFORM_UPDATE_TITLE
  1048. // TclEventType: WAVEFORM_OPEN_WCFG
  1049. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1050. // TclEventType: SIMULATION_UPDATE_STACKS
  1051. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1052. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1053. // TclEventType: WAVEFORM_OPEN_WCFG
  1054. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1055. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  1056. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1057. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  1058. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1059. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  1060. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  1061. // TclEventType: WAVEFORM_MODEL_EVENT
  1062. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1063. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  1064. // TclEventType: SIMULATION_OBJECT_TREE_RESTORED
  1065. // TclEventType: WAVEFORM_MODEL_EVENT
  1066. // HMemoryUtils.trashcanNow. Engine heap size: 1,307 MB. GUI used memory: 106 MB. Current time: 5/13/22, 12:35:22 PM CEST
  1067. // TclEventType: WAVEFORM_MODEL_EVENT
  1068. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1069. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  1070. // TclEventType: WAVEFORM_MODEL_EVENT
  1071. // TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
  1072. // TclEventType: SIMULATION_CURRENT_STACK_CHANGED
  1073. // TclEventType: SIMULATION_UPDATE_STACK_FRAMES
  1074. // TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
  1075. // TclEventType: SIMULATION_UPDATE_LOCALS
  1076. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1077. // TclEventType: SIMULATION_UPDATE_STACKS
  1078. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1079. // TclEventType: SIMULATION_STOPPED
  1080. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  1081. // Tcl Message: open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  1082. // Tcl Message: source pwm_test_db.tcl
  1083. // Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 5 s
  1084. // Tcl Message: ERROR: Array sizes do not match, left array has 14 elements, right array has 15 elements Time: 0 ps Iteration: 0 Process: /pwm_test_db/uutWendeTangente/line__51 File: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/wendeTangente.vhd HDL Line: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/wendeTangente.vhd:55
  1085. // Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  1086. // Tcl Message: launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:06 . Memory (MB): peak = 1580.359 ; gain = 0.000
  1087. // 'd' command handler elapsed time: 10 seconds
  1088. dismissDialog("Run Simulation"); // e
  1089. // TclEventType: FILE_SET_CHANGE
  1090. // TclEventType: WAVEFORM_MODEL_EVENT
  1091. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1092. // TclEventType: WAVEFORM_MODEL_EVENT
  1093. // TclEventType: SIMULATION_ADD_BREAKPOINT
  1094. // Tcl Message: add_bp {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/wendeTangente.vhd} 55
  1095. // TclEventType: SIMULATION_DELETE_BREAKPOINT
  1096. // Tcl Message: remove_bps -file {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/wendeTangente.vhd} -line 55
  1097. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 5); // m
  1098. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1099. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 87, 183); // b
  1100. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1101. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1102. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1103. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1104. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1105. // TclEventType: WAVEFORM_MOVE_CURSOR
  1106. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 87, 183, false, false, false, false, true); // b - Double Click
  1107. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1108. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1109. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1110. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1111. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1112. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1113. // TclEventType: WAVEFORM_MOVE_CURSOR
  1114. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1115. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1116. // TclEventType: SIMULATION_UPDATE_STACKS
  1117. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1118. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1119. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1120. // HMemoryUtils.trashcanNow. Engine heap size: 1,307 MB. GUI used memory: 96 MB. Current time: 5/13/22, 12:35:42 PM CEST
  1121. // Elapsed time: 12 seconds
  1122. selectButton(RDIResource.TclConsoleView_CLEAR_ALL_OUTPUT_IN_TCL_CONSOLE, "Tcl Console_remove"); // D
  1123. selectButton("OptionPane.button", "Yes"); // JButton
  1124. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // n
  1125. selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ao
  1126. // Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
  1127. selectButton("OptionPane.button", "Yes"); // JButton
  1128. // TclEventType: WAVEFORM_CLOSE_WCFG
  1129. // TclEventType: SIMULATION_CLOSE_SIMULATION
  1130. // Tcl Message: close_sim
  1131. // Tcl Message: INFO: [Simtcl 6-16] Simulation closed
  1132. dismissDialog("Close"); // bA
  1133. // TclEventType: LAUNCH_SIM
  1134. // TclEventType: FILE_SET_OPTIONS_CHANGE
  1135. // Tcl Message: launch_simulation
  1136. // Tcl Message: Command: launch_simulation
  1137. // Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  1138. // Tcl Message: "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  1139. // TclEventType: LAUNCH_SIM_LOG
  1140. // Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1141. // Tcl Message: "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L ieee_proposed -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  1142. // TclEventType: LAUNCH_SIM
  1143. // Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim
  1144. // Tcl Message: with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  1145. // Tcl Message: INFO: [USF-XSim-8] Loading simulator feature
  1146. // TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
  1147. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  1148. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1149. // TclEventType: SIMULATION_UPDATE_STACKS
  1150. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1151. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1152. // Tcl Message: Time resolution is 1 ps
  1153. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1154. // TclEventType: SIMULATION_UPDATE_STACKS
  1155. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1156. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1157. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1158. // TclEventType: SIMULATION_UPDATE_STACKS
  1159. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1160. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1161. // TclEventType: WAVEFORM_UPDATE_TITLE
  1162. // TclEventType: WAVEFORM_OPEN_WCFG
  1163. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1164. // TclEventType: SIMULATION_UPDATE_STACKS
  1165. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1166. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1167. // TclEventType: WAVEFORM_OPEN_WCFG
  1168. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1169. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  1170. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  1171. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1172. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1173. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  1174. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  1175. // TclEventType: WAVEFORM_MODEL_EVENT
  1176. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1177. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  1178. // TclEventType: SIMULATION_OBJECT_TREE_RESTORED
  1179. // HMemoryUtils.trashcanNow. Engine heap size: 1,307 MB. GUI used memory: 100 MB. Current time: 5/13/22, 12:36:02 PM CEST
  1180. // TclEventType: WAVEFORM_MODEL_EVENT
  1181. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1182. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  1183. // TclEventType: WAVEFORM_MODEL_EVENT
  1184. // TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
  1185. // TclEventType: SIMULATION_CURRENT_STACK_CHANGED
  1186. // TclEventType: SIMULATION_UPDATE_STACK_FRAMES
  1187. // TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
  1188. // TclEventType: SIMULATION_UPDATE_LOCALS
  1189. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1190. // TclEventType: SIMULATION_UPDATE_STACKS
  1191. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1192. // TclEventType: SIMULATION_STOPPED
  1193. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  1194. // Tcl Message: open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  1195. // Tcl Message: source pwm_test_db.tcl
  1196. // Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 5 s
  1197. // Tcl Message: ERROR: Array sizes do not match, left array has 14 elements, right array has 15 elements Time: 0 ps Iteration: 0 Process: /pwm_test_db/uutWendeTangente/line__51 File: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/wendeTangente.vhd HDL Line: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/wendeTangente.vhd:55
  1198. // Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  1199. // Tcl Message: launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:06 . Memory (MB): peak = 1580.359 ; gain = 0.000
  1200. // 'd' command handler elapsed time: 8 seconds
  1201. dismissDialog("Run Simulation"); // e
  1202. // TclEventType: WAVEFORM_MODEL_EVENT
  1203. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1204. // TclEventType: WAVEFORM_MODEL_EVENT
  1205. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1206. // TclEventType: SIMULATION_UPDATE_STACKS
  1207. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1208. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1209. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1210. // Elapsed time: 17 seconds
  1211. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 5); // m
  1212. // HMemoryUtils.trashcanNow. Engine heap size: 1,307 MB. GUI used memory: 99 MB. Current time: 5/13/22, 12:36:21 PM CEST
  1213. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1214. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1215. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1216. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1217. expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, b[7:-6]]", 5); // l
  1218. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1219. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1220. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1221. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1222. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1223. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1224. expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, a[7:-6]]", 4); // l
  1225. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1226. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1227. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1228. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1229. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1230. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1231. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1232. collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, a[7:-6]]", 4); // l
  1233. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1234. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1235. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1236. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1237. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1238. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1239. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1240. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1241. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1242. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1243. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1244. expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, c[7:-6]]", 13); // l
  1245. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1246. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1247. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1248. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1249. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1250. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1251. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1252. collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, c[7:-6]]", 11); // l
  1253. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1254. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1255. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1256. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1257. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1258. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1259. // HMemoryUtils.trashcanNow. Engine heap size: 1,307 MB. GUI used memory: 100 MB. Current time: 5/13/22, 12:36:31 PM CEST
  1260. collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, b[7:-6]]", 4); // l
  1261. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1262. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1263. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1264. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1265. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1266. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1267. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1268. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 4); // m
  1269. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 3); // m
  1270. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 5); // m
  1271. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Cora-Z7-10-Master.xdc", 0); // m
  1272. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 1); // m
  1273. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "wendeTangente.vhd", 2); // m
  1274. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 5); // m
  1275. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 4); // m
  1276. // Elapsed time: 25 seconds
  1277. selectCodeEditor("pwm_test_db.vhd", 71, 124); // be
  1278. selectCodeEditor("pwm_test_db.vhd", 356, 188); // be
  1279. selectCodeEditor("pwm_test_db.vhd", 72, 128); // be
  1280. selectCodeEditor("pwm_test_db.vhd", 72, 128, false, false, false, false, true); // be - Double Click
  1281. selectCodeEditor("pwm_test_db.vhd", 240, 229); // be
  1282. typeControlKey((HResource) null, "pwm_test_db.vhd", 'c'); // be
  1283. selectCodeEditor("pwm_test_db.vhd", 61, 232); // be
  1284. typeControlKey((HResource) null, "pwm_test_db.vhd", 'v'); // be
  1285. selectCodeEditor("pwm_test_db.vhd", 167, 227); // be
  1286. selectCodeEditor("pwm_test_db.vhd", 167, 227, false, false, false, false, true); // be - Double Click
  1287. selectCodeEditor("pwm_test_db.vhd", 171, 329); // be
  1288. // Elapsed time: 47 seconds
  1289. selectCodeEditor("pwm_test_db.vhd", 64, 224); // be
  1290. selectCodeEditor("pwm_test_db.vhd", 304, 254); // be
  1291. selectCodeEditor("pwm_test_db.vhd", 191, 326); // be
  1292. selectCodeEditor("pwm_test_db.vhd", 90, 234); // be
  1293. selectCodeEditor("pwm_test_db.vhd", 113, 281); // be
  1294. // Elapsed time: 147 seconds
  1295. selectCodeEditor("pwm_test_db.vhd", 193, 310); // be
  1296. // Elapsed time: 11 seconds
  1297. typeControlKey((HResource) null, "pwm_test_db.vhd", 'v'); // be
  1298. selectCodeEditor("pwm_test_db.vhd", 407, 21); // be
  1299. typeControlKey((HResource) null, "pwm_test_db.vhd", 'c'); // be
  1300. selectCodeEditor("pwm_test_db.vhd", 187, 229); // be
  1301. typeControlKey((HResource) null, "pwm_test_db.vhd", 'v'); // be
  1302. selectCodeEditor("pwm_test_db.vhd", 215, 223); // be
  1303. selectCodeEditor("pwm_test_db.vhd", 215, 223, false, false, false, false, true); // be - Double Click
  1304. selectCodeEditor("pwm_test_db.vhd", 204, 289); // be
  1305. selectCodeEditor("pwm_test_db.vhd", 361, 229); // be
  1306. selectCodeEditor("pwm_test_db.vhd", 118, 257); // be
  1307. selectButton(RDIResourceCommand.RDICommands_SAVE_FILE, (String) null); // D
  1308. // TclEventType: DG_GRAPH_STALE
  1309. // WARNING: HEventQueue.dispatchEvent() is taking 1774 ms.
  1310. // TclEventType: DG_GRAPH_STALE
  1311. // TclEventType: FILE_SET_CHANGE
  1312. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // n
  1313. selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ao
  1314. // Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
  1315. selectButton("OptionPane.button", "Yes"); // JButton
  1316. // TclEventType: WAVEFORM_CLOSE_WCFG
  1317. // TclEventType: SIMULATION_CLOSE_SIMULATION
  1318. // Tcl Message: close_sim
  1319. // Tcl Message: INFO: [Simtcl 6-16] Simulation closed
  1320. dismissDialog("Close"); // bA
  1321. // TclEventType: LAUNCH_SIM
  1322. // TclEventType: FILE_SET_OPTIONS_CHANGE
  1323. // Tcl Message: launch_simulation
  1324. // Tcl Message: Command: launch_simulation
  1325. // Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  1326. // Tcl Message: "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  1327. // TclEventType: LAUNCH_SIM_LOG
  1328. // Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  1329. // Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1330. // Tcl Message: "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L ieee_proposed -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  1331. // TclEventType: LAUNCH_SIM
  1332. // Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim
  1333. // Tcl Message: with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  1334. // Tcl Message: INFO: [USF-XSim-8] Loading simulator feature
  1335. // TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
  1336. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  1337. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1338. // TclEventType: SIMULATION_UPDATE_STACKS
  1339. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1340. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1341. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1342. // TclEventType: SIMULATION_UPDATE_STACKS
  1343. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1344. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1345. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1346. // TclEventType: SIMULATION_UPDATE_STACKS
  1347. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1348. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1349. // TclEventType: WAVEFORM_UPDATE_TITLE
  1350. // TclEventType: WAVEFORM_OPEN_WCFG
  1351. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1352. // TclEventType: SIMULATION_UPDATE_STACKS
  1353. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1354. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1355. // TclEventType: WAVEFORM_OPEN_WCFG
  1356. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1357. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  1358. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1359. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  1360. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1361. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  1362. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  1363. // TclEventType: WAVEFORM_MODEL_EVENT
  1364. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1365. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  1366. // TclEventType: SIMULATION_OBJECT_TREE_RESTORED
  1367. // HMemoryUtils.trashcanNow. Engine heap size: 1,307 MB. GUI used memory: 103 MB. Current time: 5/13/22, 12:41:46 PM CEST
  1368. // TclEventType: WAVEFORM_MODEL_EVENT
  1369. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1370. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  1371. // TclEventType: WAVEFORM_MODEL_EVENT
  1372. // TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
  1373. // TclEventType: SIMULATION_CURRENT_STACK_CHANGED
  1374. // TclEventType: SIMULATION_UPDATE_STACK_FRAMES
  1375. // TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
  1376. // TclEventType: SIMULATION_UPDATE_LOCALS
  1377. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1378. // TclEventType: SIMULATION_UPDATE_STACKS
  1379. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1380. // TclEventType: SIMULATION_STOPPED
  1381. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  1382. // Tcl Message: Time resolution is 1 ps
  1383. // Tcl Message: open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  1384. // Tcl Message: source pwm_test_db.tcl
  1385. // Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 5 s
  1386. // Tcl Message: ERROR: Array sizes do not match, left array has 14 elements, right array has 15 elements Time: 0 ps Iteration: 0 Process: /pwm_test_db/uutWendeTangente/line__51 File: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/wendeTangente.vhd HDL Line: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/wendeTangente.vhd:55
  1387. // Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  1388. // Tcl Message: launch_simulation: Time (s): cpu = 00:00:03 ; elapsed = 00:00:07 . Memory (MB): peak = 1580.359 ; gain = 0.000
  1389. // 'd' command handler elapsed time: 9 seconds
  1390. dismissDialog("Run Simulation"); // e
  1391. // TclEventType: WAVEFORM_MODEL_EVENT
  1392. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1393. // TclEventType: WAVEFORM_MODEL_EVENT
  1394. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 5); // m
  1395. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1396. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 25, 286); // b
  1397. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1398. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1399. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1400. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1401. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1402. // TclEventType: WAVEFORM_MOVE_CURSOR
  1403. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 42, 302); // b
  1404. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1405. // HMemoryUtils.trashcanNow. Engine heap size: 1,307 MB. GUI used memory: 103 MB. Current time: 5/13/22, 12:41:51 PM CEST
  1406. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1407. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 40, 326); // b
  1408. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1409. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1410. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1411. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1412. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1413. // TclEventType: WAVEFORM_MOVE_CURSOR
  1414. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 31, 359); // b
  1415. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1416. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1417. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1418. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1419. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1420. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1421. // TclEventType: WAVEFORM_MOVE_CURSOR
  1422. expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, a[7:-6]]", 5); // l
  1423. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1424. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1425. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1426. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1427. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1428. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1429. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1430. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1431. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1432. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1433. collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, a[7:-6]]", 5); // l
  1434. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1435. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1436. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1437. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1438. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1439. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1440. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1441. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 241, 207); // b
  1442. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1443. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1444. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1445. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1446. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1447. // TclEventType: WAVEFORM_MOVE_CURSOR
  1448. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1449. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation]", 9, true, false, false, false, true, false); // n - Popup Trigger - Node
  1450. selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_SETTINGS, "Simulation Settings..."); // ao
  1451. // Run Command: PAResourceCommand.PACommandNames_SIMULATION_SETTINGS
  1452. // Tcl Command: 'rdi::info_commands {device::*}'
  1453. // Tcl Command: 'rdi::info_commands {debug::*}'
  1454. // Tcl Command: 'rdi::info_commands {*}'
  1455. // WARNING: HEventQueue.dispatchEvent() is taking 1449 ms.
  1456. // Elapsed time: 18 seconds
  1457. dismissDialog("Settings"); // d
  1458. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1459. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1460. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1461. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1462. selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, a[7:-6]]", 5, true); // l - Node
  1463. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1464. selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, a[7:-6]]", 5, true, false, false, false, true, false); // l - Popup Trigger - Node
  1465. selectMenu("Name"); // al
  1466. selectMenu("Waveform Style"); // al
  1467. selectMenu("Signal Color"); // al
  1468. selectMenu("Radix"); // al
  1469. selectMenu("Radix"); // al
  1470. selectMenuItem((HResource) null, "Real Settings..."); // ao
  1471. selectRadioButton(RDIResource.WaveformRealSettingsDialog_FIXED_POINT, "Fixed point"); // a
  1472. selectRadioButton(RDIResource.WaveformRealSettingsDialog_SIGNED, "Signed"); // a
  1473. setSpinner(RDIResource.WaveformRealSettingsDialog_BINARY_POINT, "28"); // V
  1474. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  1475. // TclEventType: WAVEFORM_MODEL_EVENT
  1476. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1477. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1478. // TclEventType: WAVEFORM_UPDATE_TITLE
  1479. dismissDialog("Real Settings"); // h
  1480. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1481. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1482. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1483. selectMenu("Waveform Style"); // al
  1484. selectMenu("Signal Color"); // al
  1485. selectMenu("Radix"); // al
  1486. selectMenu("Radix"); // al
  1487. selectMenuItem((HResource) null, "Real Settings..."); // ao
  1488. selectRadioButton(RDIResource.WaveformRealSettingsDialog_FIXED_POINT, "Fixed point"); // a
  1489. selectRadioButton(RDIResource.WaveformRealSettingsDialog_SIGNED, "Signed"); // a
  1490. setSpinner(RDIResource.WaveformRealSettingsDialog_BINARY_POINT, "28"); // V
  1491. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  1492. // TclEventType: WAVEFORM_MODEL_EVENT
  1493. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1494. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1495. // TclEventType: WAVEFORM_UPDATE_TITLE
  1496. dismissDialog("Real Settings"); // h
  1497. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1498. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1499. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1500. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1501. // [GUI Memory]: 175 MB (+3967kb) [01:09:21]
  1502. selectMenu("Waveform Style"); // al
  1503. selectMenu("Signal Color"); // al
  1504. selectMenu("Radix"); // al
  1505. selectMenu("Radix"); // al
  1506. selectMenuItem((HResource) null, "Real Settings..."); // ao
  1507. selectRadioButton(RDIResource.WaveformRealSettingsDialog_FIXED_POINT, "Fixed point"); // a
  1508. selectRadioButton(RDIResource.WaveformRealSettingsDialog_SIGNED, "Signed"); // a
  1509. setSpinner(RDIResource.WaveformRealSettingsDialog_BINARY_POINT, "28"); // V
  1510. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  1511. // TclEventType: WAVEFORM_MODEL_EVENT
  1512. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1513. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1514. // TclEventType: WAVEFORM_UPDATE_TITLE
  1515. dismissDialog("Real Settings"); // h
  1516. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1517. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1518. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1519. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 25, 444); // b
  1520. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1521. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1522. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1523. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1524. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1525. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1526. // TclEventType: WAVEFORM_MOVE_CURSOR
  1527. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 67, 397); // b
  1528. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1529. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1530. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1531. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1532. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1533. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1534. // TclEventType: WAVEFORM_MOVE_CURSOR
  1535. // Run Command: RDIResourceCommand.RDICommands_WAVEFORM_SAVE_CONFIGURATION
  1536. // TclEventType: WAVEFORM_UPDATE_TITLE
  1537. // Tcl Message: save_wave_config {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg}
  1538. // TclEventType: FILE_SET_CHANGE
  1539. // Elapsed time: 15 seconds
  1540. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 214, 544); // b
  1541. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1542. // HMemoryUtils.trashcanNow. Engine heap size: 1,307 MB. GUI used memory: 119 MB. Current time: 5/13/22, 12:43:23 PM CEST
  1543. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1544. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 70, 401); // b
  1545. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1546. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1547. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1548. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1549. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1550. // TclEventType: WAVEFORM_MOVE_CURSOR
  1551. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1552. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1553. // TclEventType: WAVEFORM_MOVE_CURSOR
  1554. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1555. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1556. // TclEventType: WAVEFORM_MOVE_CURSOR
  1557. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1558. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1559. // TclEventType: WAVEFORM_MOVE_CURSOR
  1560. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1561. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1562. // TclEventType: WAVEFORM_MOVE_CURSOR
  1563. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1564. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1565. // TclEventType: WAVEFORM_MOVE_CURSOR
  1566. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1567. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1568. // TclEventType: WAVEFORM_MOVE_CURSOR
  1569. // HMemoryUtils.trashcanNow. Engine heap size: 1,307 MB. GUI used memory: 119 MB. Current time: 5/13/22, 12:43:24 PM CEST
  1570. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1571. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1572. // TclEventType: WAVEFORM_MOVE_CURSOR
  1573. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1574. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1575. // TclEventType: WAVEFORM_MOVE_CURSOR
  1576. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1577. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1578. // TclEventType: WAVEFORM_MOVE_CURSOR
  1579. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1580. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1581. // TclEventType: WAVEFORM_MOVE_CURSOR
  1582. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1583. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1584. // TclEventType: WAVEFORM_MOVE_CURSOR
  1585. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1586. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1587. // TclEventType: WAVEFORM_MOVE_CURSOR
  1588. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1589. // HMemoryUtils.trashcanNow. Engine heap size: 1,307 MB. GUI used memory: 119 MB. Current time: 5/13/22, 12:43:25 PM CEST
  1590. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1591. // TclEventType: WAVEFORM_MOVE_CURSOR
  1592. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1593. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1594. // TclEventType: WAVEFORM_MOVE_CURSOR
  1595. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1596. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1597. // TclEventType: WAVEFORM_MOVE_CURSOR
  1598. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1599. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1600. // TclEventType: WAVEFORM_MOVE_CURSOR
  1601. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1602. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1603. // TclEventType: WAVEFORM_MOVE_CURSOR
  1604. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1605. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1606. // TclEventType: WAVEFORM_MOVE_CURSOR
  1607. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1608. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1609. // TclEventType: WAVEFORM_MOVE_CURSOR
  1610. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1611. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1612. // TclEventType: WAVEFORM_MOVE_CURSOR
  1613. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1614. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1615. // TclEventType: WAVEFORM_MOVE_CURSOR
  1616. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1617. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1618. // TclEventType: WAVEFORM_MOVE_CURSOR
  1619. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1620. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1621. // TclEventType: WAVEFORM_MOVE_CURSOR
  1622. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1623. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1624. // TclEventType: WAVEFORM_MOVE_CURSOR
  1625. // HMemoryUtils.trashcanNow. Engine heap size: 1,307 MB. GUI used memory: 119 MB. Current time: 5/13/22, 12:43:25 PM CEST
  1626. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1627. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1628. // TclEventType: WAVEFORM_MOVE_CURSOR
  1629. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1630. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1631. // TclEventType: WAVEFORM_MOVE_CURSOR
  1632. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1633. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1634. // TclEventType: WAVEFORM_MOVE_CURSOR
  1635. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1636. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1637. // TclEventType: WAVEFORM_MOVE_CURSOR
  1638. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1639. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1640. // TclEventType: WAVEFORM_MOVE_CURSOR
  1641. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1642. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1643. // TclEventType: WAVEFORM_MOVE_CURSOR
  1644. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1645. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1646. // TclEventType: WAVEFORM_MOVE_CURSOR
  1647. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1648. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1649. // TclEventType: WAVEFORM_MOVE_CURSOR
  1650. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1651. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1652. // TclEventType: WAVEFORM_MOVE_CURSOR
  1653. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1654. // HMemoryUtils.trashcanNow. Engine heap size: 1,307 MB. GUI used memory: 119 MB. Current time: 5/13/22, 12:43:25 PM CEST
  1655. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1656. // TclEventType: WAVEFORM_MOVE_CURSOR
  1657. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1658. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1659. // TclEventType: WAVEFORM_MOVE_CURSOR
  1660. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1661. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1662. // TclEventType: WAVEFORM_MOVE_CURSOR
  1663. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1664. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1665. // TclEventType: WAVEFORM_MOVE_CURSOR
  1666. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1667. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1668. // TclEventType: WAVEFORM_MOVE_CURSOR
  1669. // HMemoryUtils.trashcanNow. Engine heap size: 1,307 MB. GUI used memory: 119 MB. Current time: 5/13/22, 12:43:26 PM CEST
  1670. selectButton(RDIResource.WaveformView_NEXT_TRANSITION, "Waveform Viewer_waveformNextTransition"); // D
  1671. selectButton(RDIResource.WaveformView_PREVIOUS_TRANSITION, "Waveform Viewer_waveformPreviousTransition"); // D
  1672. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1673. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1674. // TclEventType: WAVEFORM_MOVE_CURSOR
  1675. // Elapsed time: 31 seconds
  1676. selectTab((HResource) null, (HResource) null, "Sources", 1); // aL
  1677. selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "Libraries", 2); // i
  1678. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation-Only Sources, sim_1, VHDL 2008]", 21); // D
  1679. collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation-Only Sources, sim_1, Waveform Configuration File]", 24); // D
  1680. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation-Only Sources, sim_1, Waveform Configuration File]", 24); // D
  1681. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1682. // TclEventType: SIMULATION_UPDATE_STACKS
  1683. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1684. // HMemoryUtils.trashcanNow. Engine heap size: 1,307 MB. GUI used memory: 114 MB. Current time: 5/13/22, 12:44:14 PM CEST
  1685. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation-Only Sources, sim_1, Waveform Configuration File, pwm_test_db_func_synth.wcfg]", 25, false); // D
  1686. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation-Only Sources, sim_1, Waveform Configuration File, pwm_test_db_func_synth.wcfg]", 25, false, false, false, false, true, false); // D - Popup Trigger
  1687. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation-Only Sources, sim_1, Waveform Configuration File, pwm_test_db_func_synth.wcfg]", 25, false); // D
  1688. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation-Only Sources, sim_1, Waveform Configuration File, pwm_test_db_func_synth.wcfg]", 25, false, false, false, false, false, true); // D - Double Click
  1689. selectButton(PAResourceOtoP.OpenFileAction_CANCEL, "Cancel"); // a
  1690. dismissDialog("Unable to Open File"); // ag
  1691. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1692. // TclEventType: SIMULATION_UPDATE_STACKS
  1693. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1694. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1695. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1696. // HMemoryUtils.trashcanNow. Engine heap size: 1,307 MB. GUI used memory: 115 MB. Current time: 5/13/22, 12:44:23 PM CEST
  1697. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation-Only Sources, sim_1, VHDL 2008, xil_defaultlib, pwm_test_db.vhd]", 23, false); // D
  1698. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation-Only Sources, sim_1, VHDL 2008, xil_defaultlib, pwm_test_db.vhd]", 23, false); // D
  1699. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation-Only Sources, sim_1, VHDL 2008, xil_defaultlib, pwm_test_db.vhd]", 23, false, false, false, false, false, true); // D - Double Click
  1700. dismissDialog("Opening Editor"); // bA
  1701. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation-Only Sources, sim_1, VHDL 2008, xil_defaultlib, pwm_test_db.vhd]", 23, false); // D
  1702. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation-Only Sources, sim_1, Waveform Configuration File, pwm_test_db_func_synth.wcfg]", 25, false); // D
  1703. selectTab((HResource) null, (HResource) null, "Messages", 1); // aL
  1704. selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "Compile Order", 3); // i
  1705. selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "IP Sources", 1); // i
  1706. selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "Libraries", 2); // i
  1707. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation-Only Sources, sim_1, Waveform Configuration File, pwm_test_db_func_synth.wcfg]", 25, false); // D
  1708. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation-Only Sources, sim_1, Waveform Configuration File, pwm_test_db_func_synth.wcfg]", 25, false, false, false, false, true, false); // D - Popup Trigger
  1709. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation-Only Sources, sim_1, VHDL 2008, xil_defaultlib, pwm_test_db.vhd]", 23, false); // D
  1710. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation-Only Sources, sim_1, Waveform Configuration File, pwm_test_db_func_synth.wcfg]", 25, false); // D
  1711. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation-Only Sources, sim_1, Waveform Configuration File, pwm_test_db_func_synth.wcfg]", 25, false, false, false, false, true, false); // D - Popup Trigger
  1712. // Elapsed time: 148 seconds
  1713. selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "IP Sources", 1); // i
  1714. selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "Hierarchy", 0); // i
  1715. // Elapsed time: 10 seconds
  1716. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Utility Sources, utils_1, Design Checkpoint]", 21); // D
  1717. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Utility Sources, utils_1, Design Checkpoint, pwm_test.dcp]", 22, false); // D
  1718. // Elapsed time: 22 seconds
  1719. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, design_1, General Messages, [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/elaborate.log' file for more information.. ]", 5, true); // ah - Node
  1720. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, design_1, General Messages, [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/elaborate.log' file for more information.. ]", 5, true); // ah - Node
  1721. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, design_1, General Messages, [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/elaborate.log' file for more information.. ]", 5, true, false, false, false, false, true); // ah - Double Click - Node
  1722. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, design_1, General Messages, [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.. ]", 7, true); // ah - Node
  1723. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, design_1, General Messages, [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.. ]", 7, true); // ah - Node
  1724. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, design_1, General Messages, [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.. ]", 7, true, false, false, false, false, true); // ah - Double Click - Node
  1725. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, design_1, General Messages, [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.. , [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.. ]", 8, false); // ah
  1726. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, design_1, General Messages, [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.. , [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.. ]", 8, false, false, false, false, false, true); // ah - Double Click
  1727. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, design_1, General Messages, [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.. , [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.. ]", 8, false); // ah
  1728. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, design_1, General Messages, [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.. , [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.. ]", 8, false, false, false, false, false, true); // ah - Double Click
  1729. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 5); // m
  1730. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 4); // m
  1731. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Vivado Commands, General Messages, [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. - . while executing. source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. ]", 2, true); // ah - Node
  1732. expandTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Vivado Commands, General Messages, [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. - . while executing. source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. ]", 2); // ah
  1733. selectCheckBox(PAResourceItoN.MsgView_ERROR_MESSAGES, (String) null, true); // g: TRUE
  1734. // Elapsed time: 17 seconds
  1735. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Vivado Commands, General Messages, [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. - . while executing. source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. ]", 2, true); // ah - Node
  1736. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Vivado Commands, General Messages, [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. - . while executing. source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. ]", 2, true); // ah - Node
  1737. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Vivado Commands, General Messages, [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. - . while executing. source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. ]", 2, true); // ah - Node
  1738. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Vivado Commands, General Messages, [Ip 78-89] Error in evaluating command source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. - . while executing. source [rdi::utils::find_approot_file scripts/xguifrmwork/init.tcl]. , [Ip 78-89] Error in evaluating command source init.tcl. - . while executing. source init.tcl. ]", 3, false, false, false, false, false, true); // ah - Double Click
  1739. // Elapsed time: 11 seconds
  1740. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, design_1, General Messages]", 33, true); // ah - Node
  1741. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, design_1, General Messages, [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/elaborate.log' file for more information.. ]", 34, true); // ah - Node
  1742. // Elapsed time: 12 seconds
  1743. expandTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, design_1, General Messages, [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/elaborate.log' file for more information.. ]", 34); // ah
  1744. // Elapsed time: 26 seconds
  1745. selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, design_1, General Messages, [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/elaborate.log' file for more information.. ]", 34, true); // ah - Node
  1746. // Elapsed time: 69 seconds
  1747. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test.vhd", 1); // m
  1748. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "wendeTangente.vhd", 2); // m
  1749. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pt1.vhd", 3); // m
  1750. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 4); // m
  1751. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 5); // m
  1752. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1753. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Cora-Z7-10-Master.xdc", 0); // m
  1754. selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "Libraries", 2); // i
  1755. collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation-Only Sources, sim_1, VHDL 2008]", 21); // D
  1756. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db_func_synth.wcfg", 5); // m
  1757. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 165, 131); // b
  1758. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1759. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1760. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1761. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1762. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1763. // TclEventType: WAVEFORM_MOVE_CURSOR
  1764. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1765. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 93, 160); // b
  1766. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1767. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1768. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1769. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1770. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1771. // TclEventType: WAVEFORM_MOVE_CURSOR
  1772. selectTab((HResource) null, (HResource) null, "Tcl Console", 0); // aL
  1773. // Elapsed time: 91 seconds
  1774. selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 221, 277); // b
  1775. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1776. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1777. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1778. // TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES
  1779. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1780. // TclEventType: WAVEFORM_UPDATE_COMMANDS
  1781. // TclEventType: WAVEFORM_MOVE_CURSOR
  1782. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1783. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 13, false); // n
  1784. // Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
  1785. selectButton("OptionPane.button", "OK"); // JButton
  1786. // TclEventType: RUN_MODIFY
  1787. // TclEventType: RUN_RESET
  1788. // TclEventType: RUN_STATUS_CHANGE
  1789. // TclEventType: RUN_RESET
  1790. // TclEventType: RUN_MODIFY
  1791. // Tcl Message: reset_run synth_1
  1792. // Tcl Message: INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp
  1793. // TclEventType: FILE_SET_CHANGE
  1794. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  1795. dismissDialog("Launch Runs"); // f
  1796. // TclEventType: RUN_LAUNCH
  1797. // TclEventType: RUN_MODIFY
  1798. // Tcl Message: launch_runs synth_1 -jobs 6
  1799. // Tcl Message: [Fri May 13 12:52:54 2022] Launched synth_1... Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log
  1800. // 'k' command handler elapsed time: 3 seconds
  1801. dismissDialog("Starting Design Runs"); // bA
  1802. // TclEventType: RUN_STATUS_CHANGE
  1803. // TclEventType: RUN_COMPLETED
  1804. // TclEventType: RUN_STATUS_CHANGE
  1805. // TclEventType: RUN_STEP_COMPLETED
  1806. // Elapsed time: 57 seconds
  1807. selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a
  1808. dismissDialog("Synthesis Completed"); // ag
  1809. // Elapsed time: 32 seconds
  1810. selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "pwm_test_db.vhd", 4); // m
  1811. // Elapsed time: 37 seconds
  1812. selectCodeEditor("pwm_test_db.vhd", 6, 121); // be
  1813. selectCodeEditor("pwm_test_db.vhd", 232, 120); // be
  1814. selectCodeEditor("pwm_test_db.vhd", 281, 184); // be
  1815. typeControlKey((HResource) null, "pwm_test_db.vhd", 'v'); // be
  1816. selectCodeEditor("pwm_test_db.vhd", 42, 123); // be
  1817. typeControlKey((HResource) null, "pwm_test_db.vhd", 'v'); // be
  1818. selectCodeEditor("pwm_test_db.vhd", 2, 118); // be
  1819. selectCodeEditor("pwm_test_db.vhd", 0, 126); // be
  1820. selectCodeEditor("pwm_test_db.vhd", 244, 215); // be
  1821. // Elapsed time: 14 seconds
  1822. selectCodeEditor("pwm_test_db.vhd", 11, 109); // be
  1823. selectCodeEditor("pwm_test_db.vhd", 3, 205); // be
  1824. selectCodeEditor("pwm_test_db.vhd", 468, 130); // be
  1825. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Run Implementation]", 16, false); // n
  1826. // Run Command: PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION
  1827. selectButton(PAResourceQtoS.SaveProjectUtils_CANCEL, "Cancel"); // a
  1828. dismissDialog("Save Project"); // al
  1829. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation]", 9, true); // n - Node
  1830. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // n
  1831. selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ao
  1832. // Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
  1833. selectButton("OptionPane.button", "Yes"); // JButton
  1834. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  1835. // TclEventType: DG_GRAPH_STALE
  1836. // WARNING: HEventQueue.dispatchEvent() is taking 2453 ms.
  1837. // TclEventType: DG_GRAPH_STALE
  1838. // TclEventType: FILE_SET_CHANGE
  1839. // TclEventType: WAVEFORM_CLOSE_WCFG
  1840. // TclEventType: FILE_SET_CHANGE
  1841. dismissDialog("Save Simulation Sources"); // c
  1842. // TclEventType: WAVEFORM_CLOSE_WCFG
  1843. // TclEventType: SIMULATION_CLOSE_SIMULATION
  1844. // WARNING: HSwingWorker (Refresh Filesets Swing Worker) is taking 1089 ms. Increasing delay to 3267 ms.
  1845. // Tcl Message: close_sim
  1846. // Tcl Message: INFO: [Simtcl 6-16] Simulation closed
  1847. dismissDialog("Close"); // bA
  1848. // TclEventType: LAUNCH_SIM
  1849. // TclEventType: FILE_SET_OPTIONS_CHANGE
  1850. // Tcl Message: launch_simulation
  1851. // Tcl Message: Command: launch_simulation
  1852. // Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  1853. // Tcl Message: "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  1854. // Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds INFO: [USF-XSim-99] Step results log file:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/xvhdl.log'
  1855. // Tcl Message: ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/xvhdl.log' file for more information. ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
  1856. // Tcl Message: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
  1857. // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
  1858. // HOptionPane Error: 'ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. (Run Simulation)'
  1859. // 'd' command handler elapsed time: 15 seconds
  1860. selectButton("OptionPane.button", "OK"); // JButton
  1861. selectList(PAResourceAtoD.CmdMsgDialog_MESSAGES, "[USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/xvhdl.log' file for more information.", 0); // b
  1862. selectList(PAResourceAtoD.CmdMsgDialog_MESSAGES, "[USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/xvhdl.log' file for more information.", 0); // b
  1863. selectList(PAResourceAtoD.CmdMsgDialog_MESSAGES, "[USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/xvhdl.log' file for more information.", 0, false, false, false, false, true); // b - Double Click
  1864. // Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW
  1865. dismissDialog("Critical Messages"); // a
  1866. selectCodeEditor("pwm_test_db.vhd", 15, 109); // be
  1867. selectCodeEditor("pwm_test_db.vhd", 17, 128); // be
  1868. selectCodeEditor("pwm_test_db.vhd", 249, 176); // be
  1869. // Elapsed time: 17 seconds
  1870. selectCodeEditor("pwm_test_db.vhd", 236, 152); // be
  1871. selectCodeEditor("pwm_test_db.vhd", 167, 202); // be
  1872. selectCodeEditor("pwm_test_db.vhd", 14, 126); // be
  1873. selectCodeEditor("pwm_test_db.vhd", 301, 169); // be
  1874. selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // n
  1875. selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ao
  1876. // Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL
  1877. selectButton(PAResourceQtoS.SaveProjectUtils_SAVE, "Save"); // a
  1878. // TclEventType: DG_GRAPH_STALE
  1879. // WARNING: HEventQueue.dispatchEvent() is taking 3243 ms.
  1880. dismissDialog("Save Project"); // al
  1881. // TclEventType: DG_GRAPH_STALE
  1882. // TclEventType: FILE_SET_CHANGE
  1883. // WARNING: HSwingWorker (Refresh Filesets Swing Worker) is taking 2972 ms. Increasing delay to 8916 ms.
  1884. // TclEventType: LAUNCH_SIM
  1885. // TclEventType: FILE_SET_OPTIONS_CHANGE
  1886. // Tcl Message: launch_simulation
  1887. // Tcl Message: Command: launch_simulation
  1888. // Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  1889. // Tcl Message: "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  1890. // TclEventType: LAUNCH_SIM_LOG
  1891. // Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  1892. // Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '1' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim'
  1893. // Tcl Message: "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L ieee_proposed -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  1894. // TclEventType: LAUNCH_SIM
  1895. // Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '4' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim
  1896. // Tcl Message: with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  1897. // Tcl Message: INFO: [USF-XSim-8] Loading simulator feature
  1898. // TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT
  1899. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  1900. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1901. // TclEventType: SIMULATION_UPDATE_STACKS
  1902. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1903. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1904. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1905. // TclEventType: SIMULATION_UPDATE_STACKS
  1906. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1907. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1908. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1909. // TclEventType: SIMULATION_UPDATE_STACKS
  1910. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1911. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1912. // TclEventType: WAVEFORM_UPDATE_TITLE
  1913. // TclEventType: WAVEFORM_OPEN_WCFG
  1914. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1915. // TclEventType: SIMULATION_UPDATE_STACKS
  1916. // Tcl Message: Time resolution is 1 ps
  1917. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1918. // TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE
  1919. // TclEventType: WAVEFORM_OPEN_WCFG
  1920. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1921. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  1922. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1923. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  1924. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1925. // TclEventType: SIMULATION_CLEAR_CURRENT_LINE
  1926. // TclEventType: WAVEFORM_DELAYED_MODEL_EVENT
  1927. // TclEventType: WAVEFORM_MODEL_EVENT
  1928. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1929. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  1930. // TclEventType: SIMULATION_OBJECT_TREE_RESTORED
  1931. // TclEventType: WAVEFORM_MODEL_EVENT
  1932. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1933. // TclEventType: SIMULATION_UPDATE_LATEST_TIME
  1934. // TclEventType: WAVEFORM_MODEL_EVENT
  1935. // TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED
  1936. // TclEventType: SIMULATION_CURRENT_STACK_CHANGED
  1937. // TclEventType: SIMULATION_UPDATE_STACK_FRAMES
  1938. // TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED
  1939. // TclEventType: SIMULATION_UPDATE_LOCALS
  1940. // TclEventType: SIMULATION_UPDATE_SCOPE_TREE
  1941. // TclEventType: SIMULATION_UPDATE_STACKS
  1942. // TclEventType: SIMULATION_UPDATE_OBJECT_TREE
  1943. // TclEventType: SIMULATION_STOPPED
  1944. // TclEventType: SIMULATION_UPDATE_SIMULATION_STATE
  1945. // Tcl Message: open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg
  1946. // Tcl Message: source pwm_test_db.tcl
  1947. // Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 5 s
  1948. // Tcl Message: ERROR: Array sizes do not match, left array has 14 elements, right array has 15 elements Time: 0 ps Iteration: 0 Process: /pwm_test_db/uutWendeTangente/line__51 File: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/wendeTangente.vhd HDL Line: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/wendeTangente.vhd:55
  1949. // Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 5 s
  1950. // Tcl Message: launch_simulation: Time (s): cpu = 00:00:02 ; elapsed = 00:00:07 . Memory (MB): peak = 1580.359 ; gain = 0.000
  1951. // 'd' command handler elapsed time: 12 seconds
  1952. // Elapsed time: 11 seconds
  1953. dismissDialog("Run Simulation"); // e
  1954. // TclEventType: WAVEFORM_MODEL_EVENT
  1955. // TclEventType: WAVEFORM_UPDATE_WAVEFORM
  1956. // TclEventType: WAVEFORM_MODEL_EVENT
  1957. // HMemoryUtils.trashcanNow. Engine heap size: 1,307 MB. GUI used memory: 118 MB. Current time: 5/13/22, 1:14:23 PM CEST