Studentenversion des ESY6/A Praktikums "signal_processing".
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

transcript 2.7KB

1234567891011121314151617181920212223242526272829303132333435363738394041424344454647484950515253545556575859606162636465666768697071727374
  1. # // Questa Sim-64
  2. # // Version 2023.2 linux_x86_64 Apr 11 2023
  3. # //
  4. # // Copyright 1991-2023 Mentor Graphics Corporation
  5. # // All Rights Reserved.
  6. # //
  7. # // QuestaSim and its associated documentation contain trade
  8. # // secrets and commercial or financial information that are the property of
  9. # // Mentor Graphics Corporation and are privileged, confidential,
  10. # // and exempt from disclosure under the Freedom of Information Act,
  11. # // 5 U.S.C. Section 552. Furthermore, this information
  12. # // is prohibited from disclosure under the Trade Secrets Act,
  13. # // 18 U.S.C. Section 1905.
  14. # //
  15. # vsim -voptargs="+acc" work.test_task_fft -do "do vsim.wave; set StdArithNoWarnings 1; set NumericStdNoWarnings 1; run -all" -gGUI_MODE=true -gCHECK_RESULTS=true
  16. # Start time: 11:02:16 on Dec 19,2023
  17. # ** Note: (vsim-8009) Loading existing optimized design _opt1
  18. # Loading std.standard
  19. # Loading std.textio(body)
  20. # Loading ieee.std_logic_1164(body)
  21. # Loading ieee.numeric_std(body)
  22. # Loading ieee.fixed_float_types
  23. # Loading ieee.math_real(body)
  24. # Loading ieee.fixed_generic_pkg(body)
  25. # Loading ieee.float_generic_pkg(body)
  26. # Loading ieee.fixed_pkg
  27. # Loading ieee.float_pkg
  28. # Loading work.reg32(body)
  29. # Loading work.avalon_slave
  30. # Loading work.test_utility(body)
  31. # Loading work.test_avalon_slave(body)
  32. # Loading work.task(body)
  33. # Loading work.fft_data
  34. # Loading work.test_hardware_task(body)
  35. # Loading std.env(body)
  36. # Loading work.test_task_fft(test)#1
  37. # Loading work.float(body)
  38. # Loading work.task_fft(struct)#1
  39. # Loading work.hardware_task_control(rtl)#1
  40. # Loading work.avalon_slave_transitions(rtl)#1
  41. # Loading work.fft(rtl)#1
  42. # Loading work.fft_magnitude_calc(rtl)#1
  43. # Loading work.squareroot_pipe(squareroot_pipe_rtl)#1
  44. # Loading work.FFTMAIN(fast)
  45. # Loading work.SdfUnit(fast)
  46. # Loading work.Butterfly(fast)
  47. # Loading work.DelayBuffer(fast)
  48. # Loading work.Butterfly(fast__1)
  49. # Loading work.DelayBuffer(fast__1)
  50. # Loading work.Twiddle(fast)
  51. # Loading work.Multiply(fast)
  52. # Loading work.SdfUnit(fast__1)
  53. # Loading work.DelayBuffer(fast__2)
  54. # Loading work.DelayBuffer(fast__3)
  55. # Loading work.SdfUnit(fast__2)
  56. # Loading work.DelayBuffer(fast__4)
  57. # Loading work.DelayBuffer(fast__5)
  58. # Loading work.SdfUnit(fast__3)
  59. # Loading work.DelayBuffer(fast__6)
  60. # Loading work.DelayBuffer(fast__7)
  61. # Loading work.SdfUnit(fast__4)
  62. # Loading work.DelayBuffer(fast__8)
  63. # Loading work.DelayBuffer(fast__9)
  64. # do vsim.wave
  65. # set StdArithNoWarnings 1
  66. # 1
  67. # set NumericStdNoWarnings 1
  68. # 1
  69. # run -all
  70. # --------------------------------------------------------------------------------
  71. # Starting test_task_fft
  72. # test_configure ... [ OK ]
  73. test_execute ... # End time: 11:13:52 on Dec 19,2023, Elapsed time: 0:11:36
  74. # Errors: 0, Warnings: 0