Verwendeter Programmcode in Studienarbeit für ESY1B zum Thema "Verifikation mit SystemVerilog und Python"
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

Makefile 388B

1234567891011121314151617181920212223
  1. VA_FILE = demo.va
  2. TOP_LEVEL_MODULE = tb_rdot
  3. CMD_FILE = demo.cmd
  4. DO_FILE = run.do
  5. OUT_FILE = demo.wdb
  6. sim:
  7. valog $(VA_FILE)
  8. vasim -c -cmd $(CMD_FILE) -do $(DO_FILE) $(TOP_LEVEL_MODULE)
  9. ezwave:
  10. ezwave -now -loc 10 10 -width 2400 -height 1200 -tcl create_plots.tcl
  11. clean:
  12. rm DefaultVlogALib -rf
  13. rm *.chi *.log *.id *.reuse *.wdb -rf
  14. rm simcommand transcript* dok_code* -rf