Verwendeter Programmcode in Studienarbeit für ESY1B zum Thema "Verifikation mit SystemVerilog und Python"
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
Simon Schmidt 28af588549 added LCD seminararbeit 2 years ago
.vscode changed gitignore 2 years ago
4-bit-counter-SV-UVM add SV example 2 years ago
4-bit-counter-cocotb correct makefile error 2 years ago
4-bit-counter-myhdl changed gitignore 2 years ago
7Segment_Lattice_ice40_UltraPlus added subfolder 2 years ago
LCD_EPD_Simulation_VerilogA added LCD seminararbeit 2 years ago
digitaler-filter-cocotb added and changed gitignores 2 years ago
i2c_slave_opencores added i2c example from VL 2 years ago
LICENSE Initial commit 2 years ago
README.md initial commit 2 years ago

README.md

ESY1B Verifikation mit SystemVerilog und Python

Verwendeter Programmcode in Studienarbeit für ESY1B zum Thema “Verifikation mit SystemVerilog und Python”