Verwendeter Programmcode in Studienarbeit für ESY1B zum Thema "Verifikation mit SystemVerilog und Python"
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

modelsim.ini 108KB

1234567891011121314151617181920212223242526272829303132333435363738394041424344454647484950515253545556575859606162636465666768697071727374757677787980818283848586878889909192939495969798991001011021031041051061071081091101111121131141151161171181191201211221231241251261271281291301311321331341351361371381391401411421431441451461471481491501511521531541551561571581591601611621631641651661671681691701711721731741751761771781791801811821831841851861871881891901911921931941951961971981992002012022032042052062072082092102112122132142152162172182192202212222232242252262272282292302312322332342352362372382392402412422432442452462472482492502512522532542552562572582592602612622632642652662672682692702712722732742752762772782792802812822832842852862872882892902912922932942952962972982993003013023033043053063073083093103113123133143153163173183193203213223233243253263273283293303313323333343353363373383393403413423433443453463473483493503513523533543553563573583593603613623633643653663673683693703713723733743753763773783793803813823833843853863873883893903913923933943953963973983994004014024034044054064074084094104114124134144154164174184194204214224234244254264274284294304314324334344354364374384394404414424434444454464474484494504514524534544554564574584594604614624634644654664674684694704714724734744754764774784794804814824834844854864874884894904914924934944954964974984995005015025035045055065075085095105115125135145155165175185195205215225235245255265275285295305315325335345355365375385395405415425435445455465475485495505515525535545555565575585595605615625635645655665675685695705715725735745755765775785795805815825835845855865875885895905915925935945955965975985996006016026036046056066076086096106116126136146156166176186196206216226236246256266276286296306316326336346356366376386396406416426436446456466476486496506516526536546556566576586596606616626636646656666676686696706716726736746756766776786796806816826836846856866876886896906916926936946956966976986997007017027037047057067077087097107117127137147157167177187197207217227237247257267277287297307317327337347357367377387397407417427437447457467477487497507517527537547557567577587597607617627637647657667677687697707717727737747757767777787797807817827837847857867877887897907917927937947957967977987998008018028038048058068078088098108118128138148158168178188198208218228238248258268278288298308318328338348358368378388398408418428438448458468478488498508518528538548558568578588598608618628638648658668678688698708718728738748758768778788798808818828838848858868878888898908918928938948958968978988999009019029039049059069079089099109119129139149159169179189199209219229239249259269279289299309319329339349359369379389399409419429439449459469479489499509519529539549559569579589599609619629639649659669679689699709719729739749759769779789799809819829839849859869879889899909919929939949959969979989991000100110021003100410051006100710081009101010111012101310141015101610171018101910201021102210231024102510261027102810291030103110321033103410351036103710381039104010411042104310441045104610471048104910501051105210531054105510561057105810591060106110621063106410651066106710681069107010711072107310741075107610771078107910801081108210831084108510861087108810891090109110921093109410951096109710981099110011011102110311041105110611071108110911101111111211131114111511161117111811191120112111221123112411251126112711281129113011311132113311341135113611371138113911401141114211431144114511461147114811491150115111521153115411551156115711581159116011611162116311641165116611671168116911701171117211731174117511761177117811791180118111821183118411851186118711881189119011911192119311941195119611971198119912001201120212031204120512061207120812091210121112121213121412151216121712181219122012211222122312241225122612271228122912301231123212331234123512361237123812391240124112421243124412451246124712481249125012511252125312541255125612571258125912601261126212631264126512661267126812691270127112721273127412751276127712781279128012811282128312841285128612871288128912901291129212931294129512961297129812991300130113021303130413051306130713081309131013111312131313141315131613171318131913201321132213231324132513261327132813291330133113321333133413351336133713381339134013411342134313441345134613471348134913501351135213531354135513561357135813591360136113621363136413651366136713681369137013711372137313741375137613771378137913801381138213831384138513861387138813891390139113921393139413951396139713981399140014011402140314041405140614071408140914101411141214131414141514161417141814191420142114221423142414251426142714281429143014311432143314341435143614371438143914401441144214431444144514461447144814491450145114521453145414551456145714581459146014611462146314641465146614671468146914701471147214731474147514761477147814791480148114821483148414851486148714881489149014911492149314941495149614971498149915001501150215031504150515061507150815091510151115121513151415151516151715181519152015211522152315241525152615271528152915301531153215331534153515361537153815391540154115421543154415451546154715481549155015511552155315541555155615571558155915601561156215631564156515661567156815691570157115721573157415751576157715781579158015811582158315841585158615871588158915901591159215931594159515961597159815991600160116021603160416051606160716081609161016111612161316141615161616171618161916201621162216231624162516261627162816291630163116321633163416351636163716381639164016411642164316441645164616471648164916501651165216531654165516561657165816591660166116621663166416651666166716681669167016711672167316741675167616771678167916801681168216831684168516861687168816891690169116921693169416951696169716981699170017011702170317041705170617071708170917101711171217131714171517161717171817191720172117221723172417251726172717281729173017311732173317341735173617371738173917401741174217431744174517461747174817491750175117521753175417551756175717581759176017611762176317641765176617671768176917701771177217731774177517761777177817791780178117821783178417851786178717881789179017911792179317941795179617971798179918001801180218031804180518061807180818091810181118121813181418151816181718181819182018211822182318241825182618271828182918301831183218331834183518361837183818391840184118421843184418451846184718481849185018511852185318541855185618571858185918601861186218631864186518661867186818691870187118721873187418751876187718781879188018811882188318841885188618871888188918901891189218931894189518961897189818991900190119021903190419051906190719081909191019111912191319141915191619171918191919201921192219231924192519261927192819291930193119321933193419351936193719381939194019411942194319441945194619471948194919501951195219531954195519561957195819591960196119621963196419651966196719681969197019711972197319741975197619771978197919801981198219831984198519861987198819891990199119921993199419951996199719981999200020012002200320042005200620072008200920102011201220132014201520162017201820192020202120222023202420252026202720282029203020312032203320342035203620372038203920402041204220432044204520462047204820492050205120522053205420552056205720582059206020612062206320642065206620672068206920702071207220732074207520762077207820792080208120822083208420852086208720882089209020912092209320942095209620972098209921002101210221032104210521062107210821092110211121122113211421152116211721182119212021212122212321242125212621272128212921302131213221332134213521362137213821392140214121422143214421452146214721482149215021512152215321542155215621572158215921602161216221632164216521662167216821692170217121722173217421752176217721782179218021812182218321842185218621872188218921902191219221932194219521962197219821992200220122022203220422052206220722082209221022112212221322142215221622172218221922202221222222232224222522262227222822292230223122322233223422352236223722382239224022412242224322442245224622472248224922502251225222532254225522562257225822592260226122622263226422652266226722682269227022712272227322742275227622772278227922802281228222832284228522862287228822892290229122922293229422952296229722982299230023012302230323042305230623072308230923102311231223132314231523162317231823192320232123222323232423252326232723282329233023312332233323342335233623372338233923402341234223432344234523462347234823492350235123522353235423552356235723582359236023612362236323642365236623672368236923702371237223732374237523762377237823792380238123822383238423852386238723882389239023912392239323942395239623972398239924002401240224032404240524062407240824092410241124122413241424152416241724182419242024212422242324242425242624272428242924302431243224332434243524362437243824392440244124422443244424452446244724482449245024512452245324542455245624572458245924602461246224632464246524662467246824692470247124722473247424752476247724782479248024812482248324842485248624872488248924902491249224932494249524962497249824992500250125022503250425052506250725082509251025112512251325142515251625172518
  1. ; vsim modelsim.ini file
  2. [Version]
  3. INIVersion = "2019.4_2"
  4. ; Copyright 1991-2019 Mentor Graphics Corporation
  5. ;
  6. ; All Rights Reserved.
  7. ;
  8. ; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
  9. ; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
  10. ;
  11. [Library]
  12. others = $MODEL_TECH/../modelsim.ini
  13. ;
  14. ; VITAL concerns:
  15. ;
  16. ; The library ieee contains (among other packages) the packages of the
  17. ; VITAL 2000 standard. When a design uses VITAL 2000 exclusively, it should use
  18. ; the physical library ieee (recommended), or use the physical library
  19. ; vital2000, but not both. The design can use logical library ieee and/or
  20. ; vital2000 as long as each of these maps to the same physical library, either
  21. ; ieee or vital2000.
  22. ;
  23. ; A design using the 1995 version of the VITAL packages, whether or not
  24. ; it also uses the 2000 version of the VITAL packages, must have logical library
  25. ; name ieee mapped to physical library vital1995. (A design cannot use library
  26. ; vital1995 directly because some packages in this library use logical name ieee
  27. ; when referring to the other packages in the library.) The design source
  28. ; should use logical name ieee when referring to any packages there except the
  29. ; VITAL 2000 packages. Any VITAL 2000 present in the design must use logical
  30. ; name vital2000 (mapped to physical library vital2000) to refer to those
  31. ; packages.
  32. ; ieee = $MODEL_TECH/../vital1995
  33. ;
  34. ; For compatiblity with previous releases, logical library name vital2000 maps
  35. ; to library vital2000 (a different library than library ieee, containing the
  36. ; same packages).
  37. ; A design should not reference VITAL from both the ieee library and the
  38. ; vital2000 library because the vital packages are effectively different.
  39. ; A design that references both the ieee and vital2000 libraries must have
  40. ; both logical names ieee and vital2000 mapped to the same library, either of
  41. ; these:
  42. ; $MODEL_TECH/../ieee
  43. ; $MODEL_TECH/../vital2000
  44. ;
  45. ; adding mapping for ADMS
  46. ; added mapping for ADMS
  47. ;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers // Source files only for this release
  48. ;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
  49. ;mvc_lib = $MODEL_TECH/../mvc_lib
  50. ; Automatically perform logical->physical mapping for physical libraries that
  51. ; appear in -L/-Lf options with filesystem path delimiters (e.g. '.' or '/').
  52. ; The tail of the filesystem path name is chosen as the logical library name.
  53. ; For example, in the command "vopt -L ./path/to/lib1 -o opttop top",
  54. ; vopt automatically performs the mapping "lib1 -> ./path/to/lib1".
  55. ; See the User Manual for more details.
  56. ;
  57. ; AutoLibMapping = 0
  58. DefaultVlogALib = DefaultVlogALib
  59. work = DefaultVlogALib
  60. [DefineOptionset]
  61. ; Define optionset entries for the various compilers, vmake, and vsim.
  62. ; These option sets can be used with the "-optionset <optionsetname>" syntax.
  63. ; i.e.
  64. ; vlog -optionset COMPILEDEBUG top.sv
  65. ; vsim -optionset UVMDEBUG my_top
  66. ;
  67. ; Following are some useful examples.
  68. ; define a vsim optionset for uvm debugging
  69. UVMDEBUG = -uvmcontrol=all -msgmode both -displaymsgmode both -classdebug -onfinish stop
  70. ; define a vopt optionset for debugging
  71. VOPTDEBUG = +acc -debugdb
  72. [encryption]
  73. ; For vencrypt and vhencrypt.
  74. ; Controls whether to encrypt whole files by ignoring all protect directives
  75. ; (except "viewport" and "interface_viewport") that are present in the input.
  76. ; The default is 0, use embedded protect directives to control the encryption.
  77. ; Set this to 1 to encrypt whole files by ignoring embedded protect directives.
  78. ; wholefile = 0
  79. ; Sets the data_method to use for the symmetric session key.
  80. ; The session key is a symmetric key that is randomly generated for each
  81. ; protected region (envelope) and is the heart of all encryption. This is used
  82. ; to set the length of the session key to generate and use when encrypting the
  83. ; HDL text. Supported values are aes128, aes192, and aes256.
  84. ; data_method = aes128
  85. ; The following 2 are for specifying an IEEE Std. 1735 Version 2 (V2) encryption
  86. ; "recipe" comprising an optional common block, at least one tool block (which
  87. ; contains the key public key), and the text to be encrypted. The common block
  88. ; and any of the tool blocks may contain rights in the form of the "control"
  89. ; directive. The text to be encrypted is specified either by setting
  90. ; "wholefile" to 1 or by embedding protect "begin" and "end" directives in
  91. ; the input HDL files.
  92. ; Common recipe specification file. This file is optional. Its presence will
  93. ; require at least one "toolblock" to be specified.
  94. ; Directives such as "author" "author_info" and "data_method",
  95. ; as well as the common block license specification, go in this file.
  96. ; common = <file name>
  97. ; Tool block specification recipe(s). Public key file with optional tool block
  98. ; file name. May be multiply-defined; at least one tool block is required if
  99. ; a recipe is being specified.
  100. ; Key file is a file name with no extension (.deprecated or .active will be
  101. ; supplied by the encryption tool).
  102. ; Rights file name is optional.
  103. ; toolblock = <key file name>[,<rights file name>]{:<key file name>[,<rights file name>]}
  104. ; Location of directory containing recipe files.
  105. ; The default location is in the product installation directory.
  106. ; keyring = $MODEL_TECH/../keyring
  107. ; Enable encryption statistics. Specify one or more arguments:
  108. ; [all,none,time,cmd,msg,perf,verbose,list]
  109. ; Add '-' to disable specific statistics. Default is [cmd,msg].
  110. Stats = cmd,msg
  111. [vcom]
  112. ; VHDL93 variable selects language version as the default.
  113. ; Default is VHDL-2002.
  114. ; Value of 0 or 1987 for VHDL-1987.
  115. ; Value of 1 or 1993 for VHDL-1993.
  116. ; Default or value of 2 or 2002 for VHDL-2002.
  117. ; Value of 3 or 2008 for VHDL-2008
  118. ; Value of 4 or ams99 for VHDL-AMS-1999
  119. ; Value of 5 or ams07 for VHDL-AMS-2007
  120. VHDL93 = 2002
  121. ; Turn on creation of VHDL package and entity source file (_parsed.vhd).
  122. ; Default is off (0).
  123. CreateSource = 1
  124. ; Ignore VHDL-2008 declaration of REAL_VECTOR in package STANDARD. Default is off.
  125. ; ignoreStandardRealVector = 1
  126. ; Show source line containing error. Default is off.
  127. ; Show_source = 1
  128. ; Turn off unbound-component warnings. Default is on.
  129. ; Show_Warning1 = 0
  130. ; Turn off process-without-a-wait-statement warnings. Default is on.
  131. ; Show_Warning2 = 0
  132. ; Turn off null-range warnings. Default is on.
  133. ; Show_Warning3 = 0
  134. ; Turn off no-space-in-time-literal warnings. Default is on.
  135. ; Show_Warning4 = 0
  136. ; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
  137. ; Show_Warning5 = 0
  138. ; Turn off optimization for IEEE std_logic_1164 package. Default is on.
  139. ; Optimize_1164 = 0
  140. ; Enable compiler statistics. Specify one or more arguments:
  141. ; [all,none,time,cmd,msg,perf,verbose,list]
  142. ; Add '-' to disable specific statistics. Default is [time,cmd,msg].
  143. ; Stats = time,cmd,msg
  144. ; Turn on resolving of ambiguous function overloading in favor of the
  145. ; "explicit" function declaration (not the one automatically created by
  146. ; the compiler for each type declaration). Default is off.
  147. ; The .ini file has Explicit enabled so that std_logic_signed/unsigned
  148. ; will match the behavior of synthesis tools.
  149. Explicit = 1
  150. ; Turn off acceleration of the VITAL packages. Default is to accelerate.
  151. ; NoVital = 1
  152. ; Turn off VITAL compliance checking. Default is checking on.
  153. ; NoVitalCheck = 1
  154. ; Ignore VITAL compliance checking errors. Default is to not ignore.
  155. ; IgnoreVitalErrors = 1
  156. ; Turn off VITAL compliance checking warnings. Default is to show warnings.
  157. ; Show_VitalChecksWarnings = 0
  158. ; Turn off PSL assertion warning messages. Default is to show warnings.
  159. ; Show_PslChecksWarnings = 0
  160. ; Enable parsing of embedded PSL assertions. Default is enabled.
  161. ; EmbeddedPsl = 0
  162. ; Keep silent about case statement static warnings.
  163. ; Default is to give a warning.
  164. ; NoCaseStaticError = 1
  165. ; Keep silent about warnings caused by aggregates that are not locally static.
  166. ; Default is to give a warning.
  167. ; NoOthersStaticError = 1
  168. ; Treat as errors:
  169. ; case statement static warnings
  170. ; warnings caused by aggregates that are not locally static
  171. ; Overrides NoCaseStaticError, NoOthersStaticError settings.
  172. ; PedanticErrors = 1
  173. ; Turn off inclusion of debugging info within design units.
  174. ; Default is to include debugging info.
  175. ; NoDebug = 1
  176. ; Turn off "Loading..." messages. Default is messages on.
  177. ; Quiet = 1
  178. ; Turn on some limited synthesis rule compliance checking. Checks only:
  179. ; -- signals used (read) by a process must be in the sensitivity list
  180. ; CheckSynthesis = 1
  181. ; Activate optimizations on expressions that do not involve signals,
  182. ; waits, or function/procedure/task invocations. Default is off.
  183. ; ScalarOpts = 1
  184. ; Turns on lint-style checking.
  185. ; Show_Lint = 1
  186. ; Require the user to specify a configuration for all bindings,
  187. ; and do not generate a compile time default binding for the
  188. ; component. This will result in an elaboration error of
  189. ; 'component not bound' if the user fails to do so. Avoids the rare
  190. ; issue of a false dependency upon the unused default binding.
  191. ; RequireConfigForAllDefaultBinding = 1
  192. ; Perform default binding at compile time.
  193. ; Default is to do default binding at load time.
  194. ; BindAtCompile = 1;
  195. ; Inhibit range checking on subscripts of arrays. Range checking on
  196. ; scalars defined with subtypes is inhibited by default.
  197. ; NoIndexCheck = 1
  198. ; Inhibit range checks on all (implicit and explicit) assignments to
  199. ; scalar objects defined with subtypes.
  200. ; NoRangeCheck = 1
  201. ; Set the prefix to be honored for synthesis/coverage pragma recognition.
  202. ; Default is "".
  203. ; AddPragmaPrefix = ""
  204. ; Ignore synthesis and coverage pragmas with this prefix.
  205. ; Default is "".
  206. ; IgnorePragmaPrefix = ""
  207. ; Turn on code coverage in VHDL design units. Default is off.
  208. ; Coverage = sbceft
  209. ; Turn off code coverage in VHDL subprograms. Default is on.
  210. ; CoverSub = 0
  211. ; Automatically exclude VHDL case statement OTHERS choice branches.
  212. ; This includes OTHERS choices in selected signal assigment statements.
  213. ; Default is to not exclude.
  214. ; CoverExcludeDefault = 1
  215. ; Control compiler and VOPT optimizations that are allowed when
  216. ; code coverage is on. Refer to the comment for this in the [vlog] area.
  217. ; CoverOpt = 3
  218. ; Turn on or off clkOpt optimization for code coverage. Default is on.
  219. ; CoverClkOpt = 1
  220. ; Turn on or off clkOpt optimization builtins for code coverage. Default is on.
  221. ; CoverClkOptBuiltins = 0
  222. ; Inform code coverage optimizations to respect VHDL 'H' and 'L'
  223. ; values on signals in conditions and expressions, and to not automatically
  224. ; convert them to '1' and '0'. Default is to not convert.
  225. ; CoverRespectHandL = 0
  226. ; Increase or decrease the maximum number of rows allowed in a UDP table
  227. ; implementing a VHDL condition coverage or expression coverage expression.
  228. ; More rows leads to a longer compile time, but more expressions covered.
  229. ; CoverMaxUDPRows = 192
  230. ; Increase or decrease the maximum number of input patterns that are present
  231. ; in FEC table. This leads to a longer compile time with more expressions
  232. ; covered with FEC metric.
  233. ; CoverMaxFECRows = 192
  234. ; Increase or decrease the limit on the size of expressions and conditions
  235. ; considered for expression and condition coverages. Higher FecUdpEffort leads
  236. ; to higher compile, optimize and simulation time, but more expressions and
  237. ; conditions are considered for coverage in the design. FecUdpEffort can
  238. ; be set to a number ranging from 1 (low) to 3 (high), defined as:
  239. ; 1 - (low) Only small expressions and conditions considered for coverage.
  240. ; 2 - (medium) Bigger expressions and conditions considered for coverage.
  241. ; 3 - (high) Very large expressions and conditions considered for coverage.
  242. ; The default setting is 1 (low).
  243. ; FecUdpEffort = 1
  244. ; Enable or disable Focused Expression Coverage analysis for conditions and
  245. ; expressions. Focused Expression Coverage data is provided by default when
  246. ; expression and/or condition coverage is active.
  247. ; CoverFEC = 0
  248. ; Enable or disable UDP Coverage analysis for conditions and expressions.
  249. ; UDP Coverage data is disabled by default when expression and/or condition
  250. ; coverage is active.
  251. ; CoverUDP = 1
  252. ; Enable or disable Rapid Expression Coverage mode for conditions and expressions.
  253. ; Disabling this would convert non-masking conditions in FEC tables to matching
  254. ; input patterns.
  255. ; CoverREC = 1
  256. ; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions
  257. ; for expression/condition coverage.
  258. ; NOTE: Enabling this may have a negative impact on simulation performance.
  259. ; CoverExpandReductionPrefix = 0
  260. ; Enable or disable short circuit evaluation of conditions and expressions when
  261. ; condition or expression coverage is active. Short circuit evaluation is enabled
  262. ; by default.
  263. ; CoverShortCircuit = 0
  264. ; Enable code coverage reporting of code that has been optimized away.
  265. ; The default is not to report.
  266. ; CoverReportCancelled = 1
  267. ; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
  268. ; Default is no deglitching.
  269. ; CoverDeglitchOn = 1
  270. ; Control the code coverage deglitching period. A period of 0, eliminates delta
  271. ; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
  272. ; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
  273. ; CoverDeglitchPeriod = 0
  274. ; Use this directory for compiler temporary files instead of "work/_temp"
  275. ; CompilerTempDir = /tmp
  276. ; Set this to cause the compilers to force data to be committed to disk
  277. ; when the files are closed.
  278. ; SyncCompilerFiles = 1
  279. ; Add VHDL-AMS declarations to package STANDARD
  280. ; Default is not to add
  281. ; AmsStandard = 1
  282. ; Range and length checking will be performed on array indices and discrete
  283. ; ranges, and when violations are found within subprograms, errors will be
  284. ; reported. Default is to issue warnings for violations, because subprograms
  285. ; may not be invoked.
  286. ; NoDeferSubpgmCheck = 0
  287. ; Turn ON detection of FSMs having single bit current state variable.
  288. ; FsmSingle = 1
  289. ; Turn off reset state transitions in FSM.
  290. ; FsmResetTrans = 0
  291. ; Turn ON detection of FSM Implicit Transitions.
  292. ; FsmImplicitTrans = 1
  293. ; Controls whether or not to show immediate assertions with constant expressions
  294. ; in GUI/report/UCDB etc. By default, immediate assertions with constant
  295. ; expressions are shown in GUI/report/UCDB etc. This does not affect
  296. ; evaluation of immediate assertions.
  297. ; ShowConstantImmediateAsserts = 0
  298. ; Controls how VHDL basic identifiers are stored with the design unit.
  299. ; Does not make the language case-sensitive, affects only how declarations
  300. ; declared with basic identifiers have their names stored and printed
  301. ; (in the GUI, examine, etc.).
  302. ; Default is to preserve the case as originally depicted in the VHDL source.
  303. ; Value of 0 indicates to change all basic identifiers to lower case.
  304. ; PreserveCase = 0
  305. ; For Configuration Declarations, controls the effect that USE clauses have
  306. ; on visibility inside the configuration items being configured. If 1
  307. ; (the default), then use pre-10.0 behavior. If 0, then for stricter LRM-compliance,
  308. ; extend the visibility of objects made visible through USE clauses into nested
  309. ; component configurations.
  310. ; OldVHDLConfigurationVisibility = 0
  311. ; Allows VHDL configuration declarations to be in a different library from
  312. ; the corresponding configured entity. Default is to not allow this for
  313. ; stricter LRM-compliance.
  314. ; SeparateConfigLibrary = 1;
  315. ; Determine how mode OUT subprogram parameters of type array and record are treated.
  316. ; If 0 (the default), then only VHDL 2008 will do this initialization.
  317. ; If 1, always initialize the mode OUT parameter to its default value.
  318. ; If 2, do not initialize the mode OUT out parameter.
  319. ; Note that prior to release 10.1, all language versions did not initialize mode
  320. ; OUT array and record type parameters, unless overridden here via this mechanism.
  321. ; In release 10.1 and later, only files compiled with VHDL 2008 will cause this
  322. ; initialization, unless overridden here.
  323. ; InitOutCompositeParam = 0
  324. ; Generate symbols debugging database in only some special cases to save on
  325. ; the number of files in the library. For other design-units, this database is
  326. ; generated on-demand in vsim.
  327. ; Default is to to generate debugging database for all design-units.
  328. ; SmartDbgSym = 1
  329. ; Enable or disable automatic creation of missing libraries.
  330. ; Default is 1 (enabled)
  331. ; CreateLib = 1
  332. ; Describe compilation options according to matching file patterns.
  333. ; File pattern * matches all printing characters other than '/'.
  334. ; File pattern **/x matches all paths containing file/directory x.
  335. ; File pattern x/** matches all paths beginning at directory x.
  336. ; FileOptMap = (**/*.vhd => -2008);
  337. ; Describe library targets of compilation according to matching file patterns.
  338. ; LibMap = (**/*.vhd => work);
  339. [vlog]
  340. ; Turn off inclusion of debugging info within design units.
  341. ; Default is to include debugging info.
  342. ; NoDebug = 1
  343. ; Turn off "Loading..." messages. Default is messages on.
  344. ; Quiet = 1
  345. ; Turn on Verilog hazard checking (order-dependent accessing of global vars).
  346. ; Default is off.
  347. ; Hazard = 1
  348. ; Turn on converting regular Verilog identifiers to uppercase. Allows case
  349. ; insensitivity for module names. Default is no conversion.
  350. ; UpCase = 1
  351. ; Activate optimizations on expressions that do not involve signals,
  352. ; waits, or function/procedure/task invocations. Default is off.
  353. ; ScalarOpts = 1
  354. ; Turns on lint-style checking.
  355. ; Show_Lint = 1
  356. ; Show source line containing error. Default is off.
  357. ; Show_source = 1
  358. ; Turn on bad option warning. Default is off.
  359. ; Show_BadOptionWarning = 1
  360. ; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
  361. ; vlog95compat = 1
  362. ; Turn off PSL warning messages. Default is to show warnings.
  363. ; Show_PslChecksWarnings = 0
  364. ; Enable parsing of embedded PSL assertions. Default is enabled.
  365. ; EmbeddedPsl = 0
  366. ; Enable compiler statistics. Specify one or more arguments:
  367. ; [all,none,time,cmd,msg,perf,verbose,list,kb]
  368. ; Add '-' to disable specific statistics. Default is [time,cmd,msg].
  369. ; Stats = time,cmd,msg
  370. ; Set the threshold for automatically identifying sparse Verilog memories.
  371. ; A memory with total size in bytes equal to or more than the sparse memory
  372. ; threshold gets marked as sparse automatically, unless specified otherwise
  373. ; in source code or by the +nosparse commandline option of vlog or vopt.
  374. ; The default is 1M. (i.e. memories with total size equal
  375. ; to or greater than 1Mb are marked as sparse)
  376. ; SparseMemThreshold = 1048576
  377. ; Set the prefix to be honored for synthesis and coverage pragma recognition.
  378. ; Default is "".
  379. ; AddPragmaPrefix = ""
  380. ; Ignore synthesis and coverage pragmas with this prefix.
  381. ; Default is "".
  382. ; IgnorePragmaPrefix = ""
  383. ; Set the option to treat all files specified in a vlog invocation as a
  384. ; single compilation unit. The default value is set to 0 which will treat
  385. ; each file as a separate compilation unit as specified in the P1800 draft standard.
  386. ; MultiFileCompilationUnit = 1
  387. ; Turn on code coverage in Verilog design units. Default is off.
  388. ; Coverage = sbceft
  389. ; Automatically exclude Verilog case statement default branches.
  390. ; Default is to not automatically exclude defaults.
  391. ; CoverExcludeDefault = 1
  392. ; Increase or decrease the maximum number of rows allowed in a UDP table
  393. ; implementing a VHDL condition coverage or expression coverage expression.
  394. ; More rows leads to a longer compile time, but more expressions covered.
  395. ; CoverMaxUDPRows = 192
  396. ; Increase or decrease the maximum number of input patterns that are present
  397. ; in FEC table. This leads to a longer compile time with more expressions
  398. ; covered with FEC metric.
  399. ; CoverMaxFECRows = 192
  400. ; Enable Multi Bit Expression Coverage in a Design, If design has expression with
  401. ; multi bit operands, this option enables its Expression Coverage.
  402. ; The default value is 0.
  403. ; CoverFecMultiBit = 1
  404. ; Increase or decrease the limit on the size of expressions and conditions
  405. ; considered for expression and condition coverages. Higher FecUdpEffort leads
  406. ; to higher compile, optimize and simulation time, but more expressions and
  407. ; conditions are considered for coverage in the design. FecUdpEffort can
  408. ; be set to a number ranging from 1 (low) to 3 (high), defined as:
  409. ; 1 - (low) Only small expressions and conditions considered for coverage.
  410. ; 2 - (medium) Bigger expressions and conditions considered for coverage.
  411. ; 3 - (high) Very large expressions and conditions considered for coverage.
  412. ; The default setting is 1 (low).
  413. ; FecUdpEffort = 1
  414. ; Enable or disable Focused Expression Coverage analysis for conditions and
  415. ; expressions. Focused Expression Coverage data is provided by default when
  416. ; expression and/or condition coverage is active.
  417. ; CoverFEC = 0
  418. ; Enable or disable UDP Coverage analysis for conditions and expressions.
  419. ; UDP Coverage data is disabled by default when expression and/or condition
  420. ; coverage is active.
  421. ; CoverUDP = 1
  422. ; Enable or disable Rapid Expression Coverage mode for conditions and expressions.
  423. ; Disabling this would convert non-masking conditions in FEC tables to matching
  424. ; input patterns.
  425. ; CoverREC = 1
  426. ; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions
  427. ; for expression/condition coverage.
  428. ; NOTE: Enabling this may have a negative impact on simulation performance.
  429. ; CoverExpandReductionPrefix = 0
  430. ; Enable or disable short circuit evaluation of conditions and expressions when
  431. ; condition or expression coverage is active. Short circuit evaluation is enabled
  432. ; by default.
  433. ; CoverShortCircuit = 0
  434. ; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
  435. ; Default is no deglitching.
  436. ; CoverDeglitchOn = 1
  437. ; Control the code coverage deglitching period. A period of 0, eliminates delta
  438. ; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
  439. ; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
  440. ; CoverDeglitchPeriod = 0
  441. ; Turn on code coverage in VLOG `celldefine modules, modules containing
  442. ; specify blocks, and modules included using vlog -v and -y. Default is off.
  443. ; CoverCells = 1
  444. ; Enable code coverage reporting of code that has been optimized away.
  445. ; The default is not to report.
  446. ; CoverReportCancelled = 1
  447. ; Control compiler and VOPT optimizations that are allowed when
  448. ; code coverage is on. This is a number from 0 to 5, with the following
  449. ; meanings (the default is 3):
  450. ; 5 -- All allowable optimizations are on.
  451. ; 4 -- Turn off removing unreferenced code.
  452. ; 3 -- Turn off process, always block and if statement merging.
  453. ; 2 -- Turn off expression optimization, converting primitives
  454. ; to continuous assignments, VHDL subprogram inlining.
  455. ; and VHDL clkOpt (converting FF's to builtins).
  456. ; 1 -- Turn off continuous assignment optimizations and clock suppression.
  457. ; 0 -- Turn off Verilog module inlining and VHDL arch inlining.
  458. ; HOWEVER, if fsm coverage is turned on, optimizations will be forced to
  459. ; level 3, with also turning off converting primitives to continuous assigns.
  460. ; CoverOpt = 3
  461. ; Specify the override for the default value of "cross_num_print_missing"
  462. ; option for the Cross in Covergroups. If not specified then LRM default
  463. ; value of 0 (zero) is used. This is a compile time option.
  464. ; SVCrossNumPrintMissingDefault = 0
  465. ; Setting following to 1 would cause creation of variables which
  466. ; would represent the value of Coverpoint expressions. This is used
  467. ; in conjunction with "SVCoverpointExprVariablePrefix" option
  468. ; in the modelsim.ini
  469. ; EnableSVCoverpointExprVariable = 0
  470. ; Specify the override for the prefix used in forming the variable names
  471. ; which represent the Coverpoint expressions. This is used in conjunction with
  472. ; "EnableSVCoverpointExprVariable" option of the modelsim.ini
  473. ; The default prefix is "expr".
  474. ; The variable name is
  475. ; variable name => <prefix>_<coverpoint name>
  476. ; SVCoverpointExprVariablePrefix = expr
  477. ; Override for the default value of the SystemVerilog covergroup,
  478. ; coverpoint, and cross option.goal (defined to be 100 in the LRM).
  479. ; NOTE: It does not override specific assignments in SystemVerilog
  480. ; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
  481. ; in the [vsim] section can override this value.
  482. ; SVCovergroupGoalDefault = 100
  483. ; Override for the default value of the SystemVerilog covergroup,
  484. ; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
  485. ; NOTE: It does not override specific assignments in SystemVerilog
  486. ; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
  487. ; in the [vsim] section can override this value.
  488. ; SVCovergroupTypeGoalDefault = 100
  489. ; Specify the override for the default value of "strobe" option for the
  490. ; Covergroup Type. This is a compile time option which forces "strobe" to
  491. ; a user specified default value and supersedes SystemVerilog specified
  492. ; default value of '0'(zero). NOTE: This can be overriden by a runtime
  493. ; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section.
  494. ; SVCovergroupStrobeDefault = 0
  495. ; Specify the override for the default value of "per_instance" option for the
  496. ; Covergroup variables. This is a compile time option which forces "per_instance"
  497. ; to a user specified default value and supersedes SystemVerilog specified
  498. ; default value of '0'(zero).
  499. ; SVCovergroupPerInstanceDefault = 0
  500. ; Specify the override for the default value of "get_inst_coverage" option for the
  501. ; Covergroup variables. This is a compile time option which forces
  502. ; "get_inst_coverage" to a user specified default value and supersedes
  503. ; SystemVerilog specified default value of '0'(zero).
  504. ; SVCovergroupGetInstCoverageDefault = 0
  505. ;
  506. ; A space separated list of resource libraries that contain precompiled
  507. ; packages. The behavior is identical to using the "-L" switch.
  508. ;
  509. ; LibrarySearchPath = <path/lib> [<path/lib> ...]
  510. LibrarySearchPath = mtiAvm mtiRnm mtiOvm mtiUvm mtiUPF infact
  511. ; The behavior is identical to the "-mixedansiports" switch. Default is off.
  512. ; MixedAnsiPorts = 1
  513. ; Enable SystemVerilog 3.1a $typeof() function. Default is off.
  514. ; EnableTypeOf = 1
  515. ; Only allow lower case pragmas. Default is disabled.
  516. ; AcceptLowerCasePragmaOnly = 1
  517. ; Set the maximum depth permitted for a recursive include file nesting.
  518. ; IncludeRecursionDepthMax = 5
  519. ; Turn ON detection of FSMs having single bit current state variable.
  520. ; FsmSingle = 1
  521. ; Turn off reset state transitions in FSM.
  522. ; FsmResetTrans = 0
  523. ; Turn off detections of FSMs having x-assignment.
  524. ; FsmXAssign = 0
  525. ; Turn ON detection of FSM Implicit Transitions.
  526. ; FsmImplicitTrans = 1
  527. ; List of file suffixes which will be read as SystemVerilog. White space
  528. ; in extensions can be specified with a back-slash: "\ ". Back-slashes
  529. ; can be specified with two consecutive back-slashes: "\\";
  530. ; SvFileSuffixes = sv svp svh
  531. ; This setting is the same as the vlog -sv command line switch.
  532. ; Enables SystemVerilog features and keywords when true (1).
  533. ; When false (0), the rules of IEEE Std 1364-2005 are followed and
  534. ; SystemVerilog keywords are ignored.
  535. ; Svlog = 0
  536. ; Prints attribute placed upon SV packages during package import
  537. ; when true (1). The attribute will be ignored when this
  538. ; entry is false (0). The attribute name is "package_load_message".
  539. ; The value of this attribute is a string literal.
  540. ; Default is true (1).
  541. ; PrintSVPackageLoadingAttribute = 1
  542. ; Do not show immediate assertions with constant expressions in
  543. ; GUI/reports/UCDB etc. By default immediate assertions with constant
  544. ; expressions are shown in GUI/reports/UCDB etc. This does not affect
  545. ; evaluation of immediate assertions.
  546. ; ShowConstantImmediateAsserts = 0
  547. ; Controls if untyped parameters that are initialized with values greater
  548. ; than 2147483647 are mapped to generics of type INTEGER or ignored.
  549. ; If mapped to VHDL Integers, values greater than 2147483647
  550. ; are mapped to negative values.
  551. ; Default is to map these parameter to generic of type INTEGER
  552. ; ForceUnsignedToVHDLInteger = 1
  553. ; Enable AMS wreal (wired real) extensions. Default is 0.
  554. ; WrealType = 1
  555. ; Controls SystemVerilog Language Extensions. These options enable
  556. ; some non-LRM compliant behavior.
  557. ; SvExtensions = [+|-]<extension>[,[+|-]<extension>*]
  558. ; Generate symbols debugging database in only some special cases to save on
  559. ; the number of files in the library. For other design-units, this database is
  560. ; generated on-demand in vsim.
  561. ; Default is to to generate debugging database for all design-units.
  562. ; SmartDbgSym = 1
  563. ; Controls how $unit library entries are named. Valid options are:
  564. ; "file" (generate name based on the first file on the command line)
  565. ; "du" (generate name based on first design unit following an item
  566. ; found in $unit scope)
  567. ; CUAutoName = file
  568. ; Enable or disable automatic creation of missing libraries.
  569. ; Default is 1 (enabled)
  570. ; CreateLib = 1
  571. [sccom]
  572. ; Enable use of SCV include files and library. Default is off.
  573. ; UseScv = 1
  574. ; Add C++ compiler options to the sccom command line by using this variable.
  575. ; CppOptions = -g
  576. ; Use custom C++ compiler located at this path rather than the default path.
  577. ; The path should point directly at a compiler executable.
  578. ; CppPath = /usr/bin/g++
  579. ; Specify the compiler version from the list of support GNU compilers.
  580. ; examples 4.3.3, 4.5.0
  581. ; CppInstall = 4.5.0
  582. ; Enable verbose messages from sccom. Default is off.
  583. ; SccomVerbose = 1
  584. ; sccom logfile. Default is no logfile.
  585. ; SccomLogfile = sccom.log
  586. ; Enable use of SC_MS include files and library. Default is off.
  587. ; UseScMs = 1
  588. ; Use SystemC-2.2 instead of the default SystemC-2.3. Default is off.
  589. ; Sc22Mode = 1
  590. ; Enable compiler statistics. Specify one or more arguments:
  591. ; [all,none,time,cmd,msg,perf,verbose,list,kb]
  592. ; Add '-' to disable specific statistics. Default is [time,cmd,msg].
  593. ; Stats = time,cmd,msg
  594. ; Enable or disable automatic creation of missing libraries.
  595. ; Default is 1 (enabled)
  596. ; CreateLib = 1
  597. ; Enable use of UVMC library. Default is off.
  598. ; UseUvmc = 1
  599. [vopt]
  600. ; Turn on code coverage in vopt. Default is off.
  601. ; Coverage = sbceft
  602. ; enable or disable param saving in UCDB.
  603. ; CoverageSaveParam = 0
  604. ; Control compiler optimizations that are allowed when
  605. ; code coverage is on. Refer to the comment for this in the [vlog] area.
  606. ; CoverOpt = 3
  607. ; Controls set of CoverConstructs that are being considered for Coverage
  608. ; Collection.
  609. ; Some of Valid options are: default,set1,set2
  610. ; Covermode = default
  611. ; Controls set of HDL cover constructs that would be considered(or not considered)
  612. ; for Coverage Collection. (Default corresponds to covermode default).
  613. ; Some of Valid options are: "ca", "citf", "cifl", "tcint", "fsmqs".
  614. ; Coverconstruct = noca,nocitf,nofsmtf,nofsmds,noctes,nocicl,nocprc,nocfl,nofsmup,nocifl,nocpm,notcint,nocpkg,nocsva
  615. ; Increase or decrease the maximum number of rows allowed in a UDP table
  616. ; implementing a VHDL condition coverage or expression coverage expression.
  617. ; More rows leads to a longer compile time, but more expressions covered.
  618. ; CoverMaxUDPRows = 192
  619. ; Increase or decrease the maximum number of input patterns that are present
  620. ; in FEC table. This leads to a longer compile time with more expressions
  621. ; covered with FEC metric.
  622. ; CoverMaxFECRows = 192
  623. ; Enable Multi Bit Expression Coverage in a Design, If design has expression with
  624. ; multi bit operands, this option enables its Expression Coverage.
  625. ; The default value is 0.
  626. ; CoverFecMultiBit = 1
  627. ; Increase or decrease the limit on the size of expressions and conditions
  628. ; considered for expression and condition coverages. Higher FecUdpEffort leads
  629. ; to higher compile, optimize and simulation time, but more expressions and
  630. ; conditions are considered for coverage in the design. FecUdpEffort can
  631. ; be set to a number ranging from 1 (low) to 3 (high), defined as:
  632. ; 1 - (low) Only small expressions and conditions considered for coverage.
  633. ; 2 - (medium) Bigger expressions and conditions considered for coverage.
  634. ; 3 - (high) Very large expressions and conditions considered for coverage.
  635. ; The default setting is 1 (low).
  636. ; FecUdpEffort = 1
  637. ; Enable code coverage reporting of code that has been optimized away.
  638. ; The default is not to report.
  639. ; CoverReportCancelled = 1
  640. ; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
  641. ; Default is no deglitching.
  642. ; CoverDeglitchOn = 1
  643. ; Enable compiler statistics. Specify one or more arguments:
  644. ; [all,none,time,cmd,msg,perf,verbose,list,kb]
  645. ; Add '-' to disable specific statistics. Default is [time,cmd,msg].
  646. ; Stats = time,cmd,msg
  647. ; Control the code coverage deglitching period. A period of 0, eliminates delta
  648. ; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
  649. ; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
  650. ; CoverDeglitchPeriod = 0
  651. ; Do not show immediate assertions with constant expressions in
  652. ; GUI/reports/UCDB etc. By default immediate assertions with constant
  653. ; expressions are shown in GUI/reports/UCDB etc. This does not affect
  654. ; evaluation of immediate assertions.
  655. ; ShowConstantImmediateAsserts = 0
  656. ; Set the maximum number of iterations permitted for a generate loop.
  657. ; Restricting this permits the implementation to recognize infinite
  658. ; generate loops.
  659. ; GenerateLoopIterationMax = 100000
  660. ; Set the maximum depth permitted for a recursive generate instantiation.
  661. ; Restricting this permits the implementation to recognize infinite
  662. ; recursions.
  663. ; GenerateRecursionDepthMax = 200
  664. ; Set the number of processes created during the code generation phase.
  665. ; By default a heuristic is used to set this value. This may be set to 0
  666. ; to disable this feature completely.
  667. ; ParallelJobs = 0
  668. ; Controls SystemVerilog Language Extensions. These options enable
  669. ; some non-LRM compliant behavior.
  670. ; SvExtensions = [+|-]<extension>[,[+|-]<extension>*]
  671. ; Load the specified shared objects with the RTLD_GLOBAL flag.
  672. ; This gives global visibility to all symbols in the shared objects,
  673. ; meaning that subsequently loaded shared objects can bind to symbols
  674. ; in the global shared objects. The list of shared objects should
  675. ; be whitespace delimited. This option is not supported on the
  676. ; Windows or AIX platforms.
  677. ; GlobalSharedObjectList = example1.so example2.so example3.so
  678. ; Disable SystemVerilog elaboration system task messages
  679. ; IgnoreSVAInfo = 1
  680. ; IgnoreSVAWarning = 1
  681. ; IgnoreSVAError = 1
  682. ; IgnoreSVAFatal = 1
  683. ; Enable or disable automatic creation of missing libraries.
  684. ; Default is 1 (enabled)
  685. ; CreateLib = 1
  686. [vsim]
  687. ; vopt flow
  688. ; Set to turn on automatic optimization of a design.
  689. ; Default is on
  690. VoptFlow = 0
  691. ; Simulator resolution
  692. ; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
  693. Resolution = ps
  694. ; Disable certain code coverage exclusions automatically.
  695. ; Assertions and FSM are exluded from the code coverage by default
  696. ; Set AutoExclusionsDisable = fsm to enable code coverage for fsm
  697. ; Set AutoExclusionsDisable = assertions to enable code coverage for assertions
  698. ; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions
  699. ; Or specify comma or space separated list
  700. ;AutoExclusionsDisable = fsm,assertions
  701. ; User time unit for run commands
  702. ; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
  703. ; unit specified for Resolution. For example, if Resolution is 100ps,
  704. ; then UserTimeUnit defaults to ps.
  705. ; Should generally be set to default.
  706. UserTimeUnit = default
  707. ; Default run length
  708. RunLength = 100
  709. ; Maximum iterations that can be run without advancing simulation time
  710. IterationLimit = 10000000
  711. ; Specify libraries to be searched for precompiled modules
  712. ; LibrarySearchPath = <path/lib> [<path/lib> ...]
  713. ; Set XPROP assertion fail limit. Default is 5.
  714. ; Any positive integer, -1 for infinity.
  715. ; XpropAssertionLimit = 5
  716. ; Control PSL and Verilog Assume directives during simulation
  717. ; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
  718. ; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
  719. ; SimulateAssumeDirectives = 1
  720. ; Control the simulation of PSL and SVA
  721. ; These switches can be overridden by the vsim command line switches:
  722. ; -psl, -nopsl, -sva, -nosva.
  723. ; Set SimulatePSL = 0 to disable PSL simulation
  724. ; Set SimulatePSL = 1 to enable PSL simulation (default)
  725. ; SimulatePSL = 1
  726. ; Set SimulateSVA = 0 to disable SVA simulation
  727. ; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
  728. ; SimulateSVA = 1
  729. ; Control SVA and VHDL immediate assertion directives during simulation
  730. ; Set SimulateImmedAsserts = 0 to disable simulation of immediate asserts
  731. ; Set SimulateImmedAsserts = 1 to enable simulation of immediate asserts
  732. ; SimulateImmedAsserts = 1
  733. ; License feature mappings for Verilog and VHDL
  734. ; qhsimvh Single language VHDL license
  735. ; qhsimvl Single language Verilog license
  736. ; msimhdlsim Language neutral license for either Verilog or VHDL
  737. ; msimhdlmix Second language only, language neutral license for either
  738. ; Verilog or VHDL
  739. ;
  740. ; Directives to license manager can be set either as single value or as
  741. ; space separated multi-values:
  742. ; vhdl Immediately checkout and hold a VHDL license (i.e., one of
  743. ; qhsimvh, msimhdlsim, or msimhdlmix)
  744. ; vlog Immediately checkout and hold a Verilog license (i.e., one of
  745. ; qhsimvl, msimhdlsim, or msimhdlmix)
  746. ; plus Immediately checkout and hold a VHDL license and a Verilog license
  747. ; noqueue Do not wait in the license queue when a license is not available
  748. ; viewsim Try for viewer license but accept simulator license(s) instead
  749. ; of queuing for viewer license (PE ONLY)
  750. ; noviewer Disable checkout of msimviewer license feature (PE ONLY)
  751. ; noslvhdl Disable checkout of qhsimvh license feature
  752. ; noslvlog Disable checkout of qhsimvl license feature
  753. ; nomix Disable checkout of msimhdlmix license feature
  754. ; nolnl Disable checkout of msimhdlsim license feature
  755. ; mixedonly Disable checkout of qhsimvh and qhsimvl license features
  756. ; lnlonly Disable checkout of qhsimvh,qhsimvl, and msimhdlmix license features
  757. ;
  758. ; Examples (remove ";" comment character to activate licensing directives):
  759. ; Single directive:
  760. ; License = plus
  761. ; Multi-directive (Note: space delimited directives):
  762. ; License = noqueue plus
  763. ; Severity level of a VHDL assertion message or of a SystemVerilog severity system task
  764. ; which will cause a running simulation to stop.
  765. ; VHDL assertions and SystemVerilog severity system task that occur with the
  766. ; given severity or higher will cause a running simulation to stop.
  767. ; This value is ignored during elaboration.
  768. ; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal
  769. BreakOnAssertion = 3
  770. ; Severity level of a tool message which will cause a running simulation to
  771. ; stop. This value is ignored during elaboration. Default is to not break.
  772. ; 0 = Note 1 = Warning 2 = Error 3 = Fatal
  773. ;BreakOnMessage = 2
  774. ; The class debug feature enables more visibility and tracking of class instances
  775. ; during simulation. By default this feature is disabled (0). To enable this
  776. ; feature set ClassDebug to 1.
  777. ; ClassDebug = 1
  778. ; Message Format conversion specifications:
  779. ; %S - Severity Level of message/assertion
  780. ; %R - Text of message
  781. ; %T - Time of message
  782. ; %D - Delta value (iteration number) of Time
  783. ; %K - Kind of path: Instance/Region/Signal/Process/Foreign Process/Unknown/Protected
  784. ; %i - Instance/Region/Signal pathname with Process name (if available)
  785. ; %I - shorthand for one of these:
  786. ; " %K: %i"
  787. ; " %K: %i File: %F" (when path is not Process or Signal)
  788. ; except that the %i in this case does not report the Process name
  789. ; %O - Process name
  790. ; %P - Instance/Region path without leaf process
  791. ; %F - File name
  792. ; %L - Line number; if assertion message, then line number of assertion or, if
  793. ; assertion is in a subprogram, line from which the call is made
  794. ; %u - Design unit name in form library.primary
  795. ; %U - Design unit name in form library.primary(secondary)
  796. ; %% - The '%' character itself
  797. ;
  798. ; If specific format for Severity Level is defined, use that format.
  799. ; Else, for a message that occurs during elaboration:
  800. ; -- Failure/Fatal message in VHDL region that is not a Process, and in
  801. ; certain non-VHDL regions, uses MessageFormatBreakLine;
  802. ; -- Failure/Fatal message otherwise uses MessageFormatBreak;
  803. ; -- Note/Warning/Error message uses MessageFormat.
  804. ; Else, for a message that occurs during runtime and triggers a breakpoint because
  805. ; of the BreakOnAssertion setting:
  806. ; -- if in a VHDL region that is not a Process, uses MessageFormatBreakLine;
  807. ; -- otherwise uses MessageFormatBreak.
  808. ; Else (a runtime message that does not trigger a breakpoint) uses MessageFormat.
  809. ;
  810. ; MessageFormatNote = "** %S: %R\n Time: %T Iteration: %D%I\n"
  811. ; MessageFormatWarning = "** %S: %R\n Time: %T Iteration: %D%I\n"
  812. ; MessageFormatError = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n"
  813. ; MessageFormatFail = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n"
  814. ; MessageFormatFatal = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n"
  815. ; MessageFormatBreakLine = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F Line: %L\n"
  816. ; MessageFormatBreak = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n"
  817. ; MessageFormat = "** %S: %R\n Time: %T Iteration: %D%I\n"
  818. ; Error File - alternate file for storing error messages
  819. ; ErrorFile = error.log
  820. ; Simulation Breakpoint messages
  821. ; This flag controls the display of function names when reporting the location
  822. ; where the simulator stops because of a breakpoint or fatal error.
  823. ; Example with function name: # Break in Process ctr at counter.vhd line 44
  824. ; Example without function name: # Break at counter.vhd line 44
  825. ; Default value is 1.
  826. ShowFunctions = 1
  827. ; Default radix for all windows and commands.
  828. ; Radix may be one of: symbolic, ascii, binary, octal, decimal, hex, unsigned
  829. ; Flags may be one of: enumnumeric, showbase, wreal
  830. DefaultRadix = symbolic
  831. ;DefaultRadixFlags = showbase
  832. ; Set to 1 for make the signal_force VHDL and Verilog functions use the
  833. ; default radix when processing the force value. Prior to 10.2 signal_force
  834. ; used the default radix, now it always uses symbolic unless value explicitly indicates base
  835. ;SignalForceFunctionUseDefaultRadix = 0
  836. ; VSIM Startup command
  837. ; Startup = do startup.do
  838. ; VSIM Shutdown file
  839. ; Filename to save u/i formats and configurations.
  840. ; ShutdownFile = restart.do
  841. ; To explicitly disable auto save:
  842. ; ShutdownFile = --disable-auto-save
  843. ; Run simulator in batch mode as if -batch were specified on the command line if none of -c, -gui, or -i specified.
  844. ; Simulator runs in interactive mode as if -i were specified if this option is 0. Default is 0.
  845. ; BatchMode = 1
  846. ; File for saving command transcript when -batch option used
  847. ; This option is ignored when -c, -gui, or -i options are used or if BatchMode above is zero
  848. ; default is unset so command transcript only goes to stdout for better performance
  849. ; BatchTranscriptFile = transcript
  850. ; File for saving command transcript, this option is ignored when -batch option is used
  851. TranscriptFile = transcript
  852. ; Transcript file long line wrapping mode(s)
  853. ; mode == 0 :: no wrapping, line recorded as is
  854. ; mode == 1 :: wrap at first whitespace after WSColumn
  855. ; or at Column.
  856. ; mode == 2 :: wrap as above, but add continuation
  857. ; character ('\') at end of each wrapped line
  858. ;
  859. ; WrapMode = 0
  860. ; WrapColumn = 30000
  861. ; WrapWSColumn = 27000
  862. ; File for saving command history
  863. ; CommandHistory = cmdhist.log
  864. ; Specify whether paths in simulator commands should be described
  865. ; in VHDL or Verilog format.
  866. ; For VHDL, PathSeparator = /
  867. ; For Verilog, PathSeparator = .
  868. ; For Questasim, the default is '/'.
  869. ; For Questa-ADMS, the default is ':'.
  870. ; Must not be the same character as DatasetSeparator.
  871. PathSeparator = :
  872. ; Specify the dataset separator for fully rooted contexts.
  873. ; For Questasim, the default is ':'. For example: sim:/top
  874. ; For Questa-ADMS, the default is '/'. For example: sim/:top
  875. ; Must not be the same character as PathSeparator.
  876. DatasetSeparator = /
  877. ; Specify a unique path separator for the Signal Spy set of functions.
  878. ; The default will be to use the PathSeparator variable.
  879. ; Must not be the same character as DatasetSeparator.
  880. ; SignalSpyPathSeparator = /
  881. ; Used to control parsing of HDL identifiers input to the tool.
  882. ; This includes CLI commands, vsim/vopt/vlog/vcom options,
  883. ; string arguments to FLI/VPI/DPI calls, etc.
  884. ; If set to 1, accept either Verilog escaped Id syntax or
  885. ; VHDL extended id syntax, regardless of source language.
  886. ; If set to 0, the syntax of the source language must be used.
  887. ; Each identifier in a hierarchical name may need different syntax,
  888. ; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
  889. ; "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
  890. ; GenerousIdentifierParsing = 1
  891. ; Disable VHDL assertion messages
  892. ; IgnoreNote = 1
  893. ; IgnoreWarning = 1
  894. ; IgnoreError = 1
  895. ; IgnoreFailure = 1
  896. ; Disable SystemVerilog assertion messages
  897. ; IgnoreSVAInfo = 1
  898. ; IgnoreSVAWarning = 1
  899. ; IgnoreSVAError = 1
  900. ; IgnoreSVAFatal = 1
  901. ; Do not print any additional information from Severity System tasks.
  902. ; Only the message provided by the user is printed along with severity
  903. ; information.
  904. ; SVAPrintOnlyUserMessage = 1;
  905. ; Default force kind. May be freeze, drive, deposit, or default
  906. ; or in other terms, fixed, wired, or charged.
  907. ; A value of "default" will use the signal kind to determine the
  908. ; force kind, drive for resolved signals, freeze for unresolved signals
  909. ; DefaultForceKind = freeze
  910. ; Control the iteration of events when a VHDL signal is forced to a value
  911. ; This flag can be set to honour the signal update event in next iteration,
  912. ; the default is to update and propagate in the same iteration.
  913. ; ForceSigNextIter = 1
  914. ; Enable simulation statistics. Specify one or more arguments:
  915. ; [all,none,time,cmd,msg,perf,verbose,list,kb,eor]
  916. ; Add '-' to disable specific statistics. Default is [time,cmd,msg].
  917. ; Stats = time,cmd,msg
  918. ; If zero, open files when elaborated; otherwise, open files on
  919. ; first read or write. Default is 0.
  920. ; DelayFileOpen = 1
  921. ; Control VHDL files opened for write.
  922. ; 0 = Buffered, 1 = Unbuffered
  923. UnbufferedOutput = 0
  924. ; Control the number of VHDL files open concurrently.
  925. ; This number should always be less than the current ulimit
  926. ; setting for max file descriptors.
  927. ; 0 = unlimited
  928. ConcurrentFileLimit = 40
  929. ; If nonzero, close files as soon as there is either an explicit call to
  930. ; file_close, or when the file variable's scope is closed. When zero, a
  931. ; file opened in append mode is not closed in case it is immediately
  932. ; reopened in append mode; otherwise, the file will be closed at the
  933. ; point it is reopened.
  934. ; AppendClose = 1
  935. ; Control the number of hierarchical regions displayed as
  936. ; part of a signal name shown in the Wave window.
  937. ; A value of zero tells VSIM to display the full name.
  938. ; The default is 0.
  939. ; WaveSignalNameWidth = 0
  940. ; Turn off warnings when changing VHDL constants and generics
  941. ; Default is 1 to generate warning messages
  942. ; WarnConstantChange = 0
  943. ; Turn off warnings from accelerated versions of the std_logic_arith,
  944. ; std_logic_unsigned, and std_logic_signed packages.
  945. ; StdArithNoWarnings = 1
  946. ; Turn off warnings from accelerated versions of the IEEE numeric_std
  947. ; and numeric_bit packages.
  948. ; NumericStdNoWarnings = 1
  949. ; Use old-style (pre-6.6) VHDL FOR GENERATE statement iteration names
  950. ; in the design hierarchy.
  951. ; This style is controlled by the value of the GenerateFormat
  952. ; value described next. Default is to use new-style names, which
  953. ; comprise the generate statement label, '(', the value of the generate
  954. ; parameter, and a closing ')'.
  955. ; Set this to 1 to use old-style names.
  956. ; OldVhdlForGenNames = 1
  957. ; Control the format of the old-style VHDL FOR generate statement region
  958. ; name for each iteration. Do not quote the value.
  959. ; The format string here must contain the conversion codes %s and %d,
  960. ; in that order, and no other conversion codes. The %s represents
  961. ; the generate statement label; the %d represents the generate parameter value
  962. ; at a particular iteration (this is the position number if the generate parameter
  963. ; is of an enumeration type). Embedded whitespace is allowed (but discouraged);
  964. ; leading and trailing whitespace is ignored.
  965. ; Application of the format must result in a unique region name over all
  966. ; loop iterations for a particular immediately enclosing scope so that name
  967. ; lookup can function properly. The default is %s__%d.
  968. ; GenerateFormat = %s__%d
  969. ; Enable more efficient logging of VHDL Variables.
  970. ; Logging VHDL variables without this enabled, while possible, is very
  971. ; inefficient. Enabling this will provide a more efficient logging methodology
  972. ; at the expense of more memory usage. By default this feature is disabled (0).
  973. ; To enabled this feature, set this variable to 1.
  974. ; VhdlVariableLogging = 1
  975. ; Enable logging of VHDL access type variables and their designated objects.
  976. ; This setting will allow both variables of an access type ("access variables")
  977. ; and their designated objects ("access objects") to be logged. Logging a
  978. ; variable of an access type will automatically also cause the designated
  979. ; object(s) of that variable to be logged as the simulation progresses.
  980. ; Further, enabling this allows access objects to be logged by name. By default
  981. ; this feature is disabled (0). To enable this feature, set this variable to 1.
  982. ; Enabling this will automatically enable the VhdlVariableLogging feature also.
  983. ; AccessObjDebug = 1
  984. ; Make each VHDL package in a PDU has its own separate copy of the package instead
  985. ; of sharing the package between PDUs. The default is to share packages.
  986. ; To ensure that each PDU has its own set of packages, set this variable to 1.
  987. ; VhdlSeparatePduPackage = 1
  988. ; Specify whether checkpoint files should be compressed.
  989. ; The default is 1 (compressed).
  990. ; CheckpointCompressMode = 0
  991. ; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper.
  992. ; Use custom gcc compiler located at this path rather than the default path.
  993. ; The path should point directly at a compiler executable.
  994. ; DpiCppPath = <your-gcc-installation>/bin/gcc
  995. ;
  996. ; Specify the compiler version from the list of support GNU compilers.
  997. ; examples 4.5.0, 4.7.4
  998. ; DpiCppInstall = 4.7.4
  999. ; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls.
  1000. ; The term "out-of-the-blue" refers to SystemVerilog export function calls
  1001. ; made from C functions that don't have the proper context setup
  1002. ; (as is the case when running under "DPI-C" import functions).
  1003. ; When this is enabled, one can call a DPI export function
  1004. ; (but not task) from any C code.
  1005. ; the setting of this variable can be one of the following values:
  1006. ; 0 : dpioutoftheblue call is disabled (default)
  1007. ; 1 : dpioutoftheblue call is enabled, but export call debug support is not available.
  1008. ; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available.
  1009. ; DpiOutOfTheBlue = 1
  1010. ; Specify whether continuous assignments are run before other normal priority
  1011. ; processes scheduled in the same iteration. This event ordering minimizes race
  1012. ; differences between optimized and non-optimized designs, and is the default
  1013. ; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set
  1014. ; ImmediateContinuousAssign to 0.
  1015. ; The default is 1 (enabled).
  1016. ; ImmediateContinuousAssign = 0
  1017. ; List of dynamically loaded objects for Verilog PLI applications
  1018. ; Veriuser = veriuser.sl
  1019. ; Which default VPI object model should the tool conform to?
  1020. ; The 1364 modes are Verilog-only, for backwards compatibility with older
  1021. ; libraries, and SystemVerilog objects are not available in these modes.
  1022. ;
  1023. ; In the absence of a user-specified default, the tool default is the
  1024. ; latest available LRM behavior.
  1025. ; Options for PliCompatDefault are:
  1026. ; VPI_COMPATIBILITY_VERSION_1364v1995
  1027. ; VPI_COMPATIBILITY_VERSION_1364v2001
  1028. ; VPI_COMPATIBILITY_VERSION_1364v2005
  1029. ; VPI_COMPATIBILITY_VERSION_1800v2005
  1030. ; VPI_COMPATIBILITY_VERSION_1800v2008
  1031. ;
  1032. ; Synonyms for each string are also recognized:
  1033. ; VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995)
  1034. ; VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001)
  1035. ; VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005)
  1036. ; VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005)
  1037. ; VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008)
  1038. ; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005
  1039. ; Specify whether the Verilog system task $fopen or vpi_mcd_open()
  1040. ; will create directories that do not exist when opening the file
  1041. ; in "a" or "w" mode.
  1042. ; The default is 0 (do not create non-existent directories)
  1043. ; CreateDirForFileAccess = 1
  1044. ; Specify default options for the restart command. Options can be one
  1045. ; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
  1046. ; DefaultRestartOptions = -force
  1047. ; Specify default UVM-aware debug options if the vsim -uvmcontrol switch is not used.
  1048. ; Valid options include: all, none, verbose, disable, struct, reseed, msglog, trlog, certe.
  1049. ; Options can be enabled by just adding the name, or disabled by prefixing the option with a "-".
  1050. ; The list of options must be delimited by commas, without spaces or tabs.
  1051. ;
  1052. ; Some examples
  1053. ; To turn on all available UVM-aware debug features:
  1054. ; UVMControl = all
  1055. ; To turn on the struct window, mesage logging, and transaction logging:
  1056. ; UVMControl = struct,msglog,trlog
  1057. ; To turn on all options except certe:
  1058. ; UVMControl = all,-certe
  1059. ; To completely disable all UVM-aware debug functionality:
  1060. ; UVMControl = disable
  1061. ; Specify the WildcardFilter setting.
  1062. ; A space separated list of object types to be excluded when performing
  1063. ; wildcard matches with log, wave, etc commands. The default value for this variable is:
  1064. ; "Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile"
  1065. ; See "Using the WildcardFilter Preference Variable" in the documentation for
  1066. ; details on how to use this variable and for descriptions of the filter types.
  1067. WildcardFilter = Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile
  1068. ; Specify the WildcardSizeThreshold setting.
  1069. ; This integer setting specifies the size at which objects will be excluded when
  1070. ; performing wildcard matches with log, wave, etc commands. Objects of size equal
  1071. ; to or greater than the WildcardSizeThreshold will be filtered out from the wildcard
  1072. ; matches. The size is a simple calculation of number of bits or items in the object.
  1073. ; The default value is 8k (8192). Setting this value to 0 will disable the checking
  1074. ; of object size against this threshold and allow all objects of any size to be logged.
  1075. WildcardSizeThreshold = 8192
  1076. ; Specify whether warning messages are output when objects are filtered out due to the
  1077. ; WildcardSizeThreshold. The default is 0 (no messages generated).
  1078. WildcardSizeThresholdVerbose = 0
  1079. ; Turn on (1) or off (0) WLF file compression.
  1080. ; The default is 1 (compress WLF file).
  1081. ; WLFCompress = 0
  1082. ; Specify whether to save all design hierarchy (1) in the WLF file
  1083. ; or only regions containing logged signals (0).
  1084. ; The default is 0 (save only regions with logged signals).
  1085. ; WLFSaveAllRegions = 1
  1086. ; WLF file time limit. Limit WLF file by time, as closely as possible,
  1087. ; to the specified amount of simulation time. When the limit is exceeded
  1088. ; the earliest times get truncated from the file.
  1089. ; If both time and size limits are specified the most restrictive is used.
  1090. ; UserTimeUnits are used if time units are not specified.
  1091. ; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms}
  1092. ; WLFTimeLimit = 0
  1093. ; WLF file size limit. Limit WLF file size, as closely as possible,
  1094. ; to the specified number of megabytes. If both time and size limits
  1095. ; are specified then the most restrictive is used.
  1096. ; The default is 0 (no limit).
  1097. ; WLFSizeLimit = 1000
  1098. ; Specify whether or not a WLF file should be deleted when the
  1099. ; simulation ends. A value of 1 will cause the WLF file to be deleted.
  1100. ; The default is 0 (do not delete WLF file when simulation ends).
  1101. ; WLFDeleteOnQuit = 1
  1102. ; Specify whether or not a WLF file should be optimized during
  1103. ; simulation. If set to 0, the WLF file will not be optimized.
  1104. ; The default is 1, optimize the WLF file.
  1105. ; WLFOptimize = 0
  1106. ; Specify the name of the WLF file.
  1107. ; The default is vsim.wlf
  1108. ; WLFFilename = vsim.wlf
  1109. ; Specify whether to lock the WLF file.
  1110. ; Locking the file prevents other invocations of ModelSim/Questa tools from
  1111. ; inadvertently overwriting the WLF file.
  1112. ; The default is 1, lock the WLF file.
  1113. ; WLFFileLock = 0
  1114. ; Specify the update interval for the WLF file in live simulation.
  1115. ; The interval is given in seconds.
  1116. ; The value is the smallest interval between WLF file updates. The WLF file
  1117. ; will be flushed (updated) after (at least) the interval has elapsed, ensuring
  1118. ; that the data is correct when viewed from a separate viewer.
  1119. ; A value of 0 means that no updating will occur.
  1120. ; The default value is 10 seconds.
  1121. ; WLFUpdateInterval = 10
  1122. ; Specify the WLF cache size limit for WLF files.
  1123. ; The value is given in megabytes. A value of 0 turns off the cache.
  1124. ; On non-Windows platforms the default WLFCacheSize setting is 2000 (megabytes).
  1125. ; On Windows, the default value is 1000 (megabytes) to help to avoid filling
  1126. ; process memory.
  1127. ; WLFSimCacheSize allows a different cache size to be set for a live simulation
  1128. ; WLF file, independent of post-simulation WLF file viewing. If WLFSimCacheSize
  1129. ; is not set, it defaults to the WLFCacheSize value.
  1130. ; WLFCacheSize = 2000
  1131. ; WLFSimCacheSize = 500
  1132. ; Specify the WLF file event collapse mode.
  1133. ; 0 = Preserve all events and event order. (same as -wlfnocollapse)
  1134. ; 1 = Only record values of logged objects at the end of a simulator iteration.
  1135. ; (same as -wlfcollapsedelta)
  1136. ; 2 = Only record values of logged objects at the end of a simulator time step.
  1137. ; (same as -wlfcollapsetime)
  1138. ; The default is 1.
  1139. ; WLFCollapseMode = 0
  1140. ; Specify whether WLF file logging can use threads on multi-processor machines.
  1141. ; If 0, no threads will be used; if 1, threads will be used if the system has
  1142. ; more than one processor.
  1143. ; WLFUseThreads = 1
  1144. ; Specify the size of objects that will trigger "large object" messages
  1145. ; at log/wave/list time. The size calculation of the object is the same as that
  1146. ; used by the WildcardSizeThreshold. The default LargeObjectSize size is 500,000.
  1147. ; Setting LargeObjectSize to 0 will disable these messages.
  1148. ; LargeObjectSize = 500000
  1149. ; Specify the depth of stack frames returned by $stacktrace([level]).
  1150. ; This depth will be picked up when the optional 'level' argument
  1151. ; is not specified or its value is not a positive integer.
  1152. ; StackTraceDepth = 100
  1153. ; Turn on/off undebuggable SystemC type warnings. Default is on.
  1154. ; ShowUndebuggableScTypeWarning = 0
  1155. ; Turn on/off unassociated SystemC name warnings. Default is off.
  1156. ; ShowUnassociatedScNameWarning = 1
  1157. ; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
  1158. ; ScShowIeeeDeprecationWarnings = 1
  1159. ; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
  1160. ; For SystemC-2.3.2 the valid values are 0,1 and 2
  1161. ; 0 = SC_SIGNAL_WRITE_CHECK_DISABLE_
  1162. ; 1 = SC_SIGNAL_WRITE_CHECK_DEFAULT_
  1163. ; 2 = SC_SIGNAL_WRITE_CHECK_CONFLICT_
  1164. ; For SystemC-2.2 the valid values are 0 and 1
  1165. ; 0 = DISABLE
  1166. ; 1 = ENABLE
  1167. ; ScEnableScSignalWriteCheck = 1
  1168. ; Set SystemC default time unit.
  1169. ; Set to fs, ps, ns, us, ms, or sec with optional
  1170. ; prefix of 1, 10, or 100. The default is 1 ns.
  1171. ; The ScTimeUnit value is honored if it is coarser than Resolution.
  1172. ; If ScTimeUnit is finer than Resolution, it is set to the value
  1173. ; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
  1174. ; then the default time unit will be 1 ns. However if Resolution
  1175. ; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
  1176. ScTimeUnit = ns
  1177. ; Set SystemC sc_main stack size. The stack size is set as an integer
  1178. ; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
  1179. ; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
  1180. ; on the amount of data on the sc_main() stack and the memory required
  1181. ; to succesfully execute the longest function call chain of sc_main().
  1182. ScMainStackSize = 10 Mb
  1183. ; Set SystemC thread stack size. The stack size is set as an integer
  1184. ; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
  1185. ; Gb(Giga-byte). The stack size for sc_thread depends
  1186. ; on the amount of data on the sc_thread stack and the memory required
  1187. ; to succesfully execute the thread.
  1188. ; ScStackSize = 1 Mb
  1189. ; Turn on/off execution of remainder of sc_main upon quitting the current
  1190. ; simulation session. If the cumulative length of sc_main() in terms of
  1191. ; simulation time units is less than the length of the current simulation
  1192. ; run upon quit or restart, sc_main() will be in the middle of execution.
  1193. ; This switch gives the option to execute the remainder of sc_main upon
  1194. ; quitting simulation. The drawback of not running sc_main till the end
  1195. ; is memory leaks for objects created by sc_main. If on, the remainder of
  1196. ; sc_main will be executed ignoring all delays. This may cause the simulator
  1197. ; to crash if the code in sc_main is dependent on some simulation state.
  1198. ; Default is on.
  1199. ScMainFinishOnQuit = 1
  1200. ; Enable calling of the DPI export taks/functions from the
  1201. ; SystemC start_of_simulation() callback.
  1202. ; The default is off.
  1203. ; EnableDpiSosCb = 1
  1204. ; Set the SCV relationship name that will be used to identify phase
  1205. ; relations. If the name given to a transactor relation matches this
  1206. ; name, the transactions involved will be treated as phase transactions
  1207. ScvPhaseRelationName = mti_phase
  1208. ; Customize the vsim kernel shutdown behavior at the end of the simulation.
  1209. ; Some common causes of the end of simulation are $finish (implicit or explicit),
  1210. ; sc_stop(), tf_dofinish(), and assertion failures.
  1211. ; This should be set to "ask", "exit", or "stop". The default is "ask".
  1212. ; "ask" -- In batch mode, the vsim kernel will abruptly exit.
  1213. ; In GUI mode, a dialog box will pop up and ask for user confirmation
  1214. ; whether or not to quit the simulation.
  1215. ; "stop" -- Cause the simulation to stay loaded in memory. This can make some
  1216. ; post-simulation tasks easier.
  1217. ; "exit" -- The simulation will abruptly exit without asking for any confirmation.
  1218. ; "final" -- Run SystemVerilog final blocks then behave as "stop".
  1219. ; Note: This variable can be overridden with the vsim "-onfinish" command line switch.
  1220. OnFinish = ask
  1221. ; Print pending deferred assertion messages.
  1222. ; Deferred assertion messages may be scheduled after the $finish in the same
  1223. ; time step. Deferred assertions scheduled to print after the $finish are
  1224. ; printed before exiting with severity level NOTE since it's not known whether
  1225. ; the assertion is still valid due to being printed in the active region
  1226. ; instead of the reactive region where they are normally printed.
  1227. ; OnFinishPendingAssert = 1;
  1228. ; Print "simstats" result. Default is 0.
  1229. ; 0 == do not print simstats
  1230. ; 1 == print at end of simulation
  1231. ; 2 == print at end of each run command and end of simulation
  1232. ; PrintSimStats = 1
  1233. ; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
  1234. ; AssertFile = assert.log
  1235. ; Enable assertion counts. Default is off.
  1236. ; AssertionCounts = 1
  1237. ; Run simulator in assertion debug mode. Default is off.
  1238. ; AssertionDebug = 1
  1239. ; Turn on/off PSL/SVA/VHDL assertion enable. Default is on.
  1240. ; AssertionEnable = 0
  1241. ; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1.
  1242. ; Any positive integer, -1 for infinity.
  1243. ; AssertionLimit = 1
  1244. ; Turn on/off concurrent assertion pass log. Default is off.
  1245. ; Assertion pass logging is only enabled when assertion is browseable
  1246. ; and assertion debug is enabled.
  1247. ; AssertionPassLog = 1
  1248. ; Turn on/off PSL concurrent assertion fail log. Default is on.
  1249. ; The flag does not affect SVA
  1250. ; AssertionFailLog = 0
  1251. ; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode. Default is on.
  1252. ; AssertionFailLocalVarLog = 0
  1253. ; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
  1254. ; 0 = Continue 1 = Break 2 = Exit
  1255. ; AssertionFailAction = 1
  1256. ; Enable the active thread monitor in the waveform display when assertion debug is enabled.
  1257. ; AssertionActiveThreadMonitor = 1
  1258. ; Control how many waveform rows will be used for displaying the active threads. Default is 5.
  1259. ; AssertionActiveThreadMonitorLimit = 5
  1260. ; Assertion thread limit after which assertion would be killed/switched off.
  1261. ; The default is -1 (unlimited). If the number of threads for an assertion go
  1262. ; beyond this limit, the assertion would be either switched off or killed. This
  1263. ; limit applies to only assert directives.
  1264. ;AssertionThreadLimit = -1
  1265. ; Action to be taken once the assertion thread limit is reached. Default
  1266. ; is kill. It can have a value of off or kill. In case of kill, all the existing
  1267. ; threads are terminated and no new attempts are started. In case of off, the
  1268. ; existing attempts keep on evaluating but no new attempts are started. This
  1269. ; variable applies to only assert directives.
  1270. ;AssertionThreadLimitAction = kill
  1271. ; Cover thread limit after which cover would be killed/switched off.
  1272. ; The default is -1 (unlimited). If the number of threads for a cover go
  1273. ; beyond this limit, the cover would be either switched off or killed. This
  1274. ; limit applies to only cover directives.
  1275. ;CoverThreadLimit = -1
  1276. ; Action to be taken once the cover thread limit is reached. Default
  1277. ; is kill. It can have a value of off or kill. In case of kill, all the existing
  1278. ; threads are terminated and no new attempts are started. In case of off, the
  1279. ; existing attempts keep on evaluating but no new attempts are started. This
  1280. ; variable applies to only cover directives.
  1281. ;CoverThreadLimitAction = kill
  1282. ; By default immediate assertions do not participate in Assertion Coverage calculations
  1283. ; unless they are executed. This switch causes all immediate assertions in the design
  1284. ; to participate in Assertion Coverage calculations, whether attempted or not.
  1285. ; UnattemptedImmediateAssertions = 0
  1286. ; By default immediate covers participate in Coverage calculations
  1287. ; whether they are attempted or not. This switch causes all unattempted
  1288. ; immediate covers in the design to stop participating in Coverage
  1289. ; calculations.
  1290. ; UnattemptedImmediateCovers = 0
  1291. ; By default pass action block is not executed for assertions on vacuous
  1292. ; success. The following variable is provided to enable execution of
  1293. ; pass action block on vacuous success. The following variable is only effective
  1294. ; if the user does not disable pass action block execution by using either
  1295. ; system tasks or CLI. Also there is a performance penalty for enabling
  1296. ; the following variable.
  1297. ;AssertionEnableVacuousPassActionBlock = 1
  1298. ; As per strict 1850-2005 PSL LRM, an always property can either pass
  1299. ; or fail. However, by default, Questa reports multiple passes and
  1300. ; multiple fails on top always/never property (always/never operator
  1301. ; is the top operator under Verification Directive). The reason
  1302. ; being that Questa reports passes and fails on per attempt of the
  1303. ; top always/never property. Use the following flag to instruct
  1304. ; Questa to strictly follow LRM. With this flag, all assert/never
  1305. ; directives will start an attempt once at start of simulation.
  1306. ; The attempt can either fail, match or match vacuously.
  1307. ; For e.g. if always is the top operator under assert, the always will
  1308. ; keep on checking the property at every clock. If the property under
  1309. ; always fails, the directive will be considered failed and no more
  1310. ; checking will be done for that directive. A top always property,
  1311. ; if it does not fail, will show a pass at end of simulation.
  1312. ; The default value is '0' (i.e. zero is off). For example:
  1313. ; PslOneAttempt = 1
  1314. ; Specify the number of clock ticks to represent infinite clock ticks.
  1315. ; This affects eventually!, until! and until_!. If at End of Simulation
  1316. ; (EOS) an active strong-property has not clocked this number of
  1317. ; clock ticks then neither pass or fail (vacuous match) is returned
  1318. ; else respective fail/pass is returned. The default value is '0' (zero)
  1319. ; which effectively does not check for clock tick condition. For example:
  1320. ; PslInfinityThreshold = 5000
  1321. ; Control how many thread start times will be preserved for ATV viewing for a given assertion
  1322. ; instance. Default is -1 (ALL).
  1323. ; ATVStartTimeKeepCount = -1
  1324. ; Turn on/off code coverage
  1325. ; CodeCoverage = 0
  1326. ; This option applies to condition and expression coverage UDP tables. It
  1327. ; has no effect unless UDP is enabled for coverage with vcom/vlog/vopt -coverudp.
  1328. ; If this option is used and a match occurs in more than one row in the UDP table,
  1329. ; none of the counts for all matching rows is incremented. By default, counts are
  1330. ; incremented for all matching rows.
  1331. ; CoverCountAll = 1
  1332. ; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
  1333. ; is to include them.
  1334. ; ToggleNoIntegers = 1
  1335. ; Set the maximum number of values that are collected for toggle coverage of
  1336. ; VHDL integers. Default is 100;
  1337. ; ToggleMaxIntValues = 100
  1338. ; Set the maximum number of values that are collected for toggle coverage of
  1339. ; Verilog real. Default is 100;
  1340. ; ToggleMaxRealValues = 100
  1341. ; Turn on automatic inclusion of Verilog integers in toggle coverage, except
  1342. ; for enumeration types. Default is to include them.
  1343. ; ToggleVlogIntegers = 0
  1344. ; Turn on automatic inclusion of Verilog real type in toggle coverage, except
  1345. ; for shortreal types. Default is to not include them.
  1346. ; ToggleVlogReal = 1
  1347. ; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays
  1348. ; and VHDL arrays-of-arrays in toggle coverage.
  1349. ; Default is to not include them.
  1350. ; ToggleFixedSizeArray = 1
  1351. ; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays,
  1352. ; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage.
  1353. ; This leads to a longer simulation time with bigger arrays covered with toggle coverage.
  1354. ; Default is 1024.
  1355. ; ToggleMaxFixedSizeArray = 1024
  1356. ; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized
  1357. ; one-dimensional packed vectors for toggle coverage. Default is 0.
  1358. ; TogglePackedAsVec = 0
  1359. ; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for
  1360. ; toggle coverage. Default is 0.
  1361. ; ToggleVlogEnumBits = 0
  1362. ; Turn off automatic inclusion of VHDL records in toggle coverage.
  1363. ; Default is to include them.
  1364. ; ToggleVHDLRecords = 0
  1365. ; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
  1366. ; For unlimited width, set to 0.
  1367. ; ToggleWidthLimit = 128
  1368. ; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
  1369. ; reached this count, further activity on the bit is ignored. Default is 1.
  1370. ; For unlimited counts, set to 0.
  1371. ; ToggleCountLimit = 1
  1372. ; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3.
  1373. ; Following is the toggle coverage calculation criteria based on extended toggle mode:
  1374. ; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z').
  1375. ; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'.
  1376. ; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions.
  1377. ; ExtendedToggleMode = 3
  1378. ; Enable toggle statistics collection only for ports. Default is 0.
  1379. ; TogglePortsOnly = 1
  1380. ; Limit the counts that are tracked for Focussed Expression Coverage. When a bin has
  1381. ; reached this count, further tracking of the input patterns linked to it is ignored.
  1382. ; Default is 1. For unlimited counts, set to 0.
  1383. ; NOTE: Changing this value from its default value may affect simulation performance.
  1384. ; FecCountLimit = 1
  1385. ; Limit the counts that are tracked for UDP Coverage. When a bin has
  1386. ; reached this count, further tracking of the input patterns linked to it is ignored.
  1387. ; Default is 1. For unlimited counts, set to 0.
  1388. ; NOTE: Changing this value from its default value may affect simulation performance.
  1389. ; UdpCountLimit = 1
  1390. ; Control toggle coverage deglitching period. A period of 0, eliminates delta
  1391. ; cycle glitches. This is the default. The value of ToggleDeglitchPeriod needs to be either
  1392. ; 0 or a time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
  1393. ; ToggleDeglitchPeriod = 10.0ps
  1394. ; Turn on/off all PSL/SVA cover directive enables. Default is on.
  1395. ; CoverEnable = 0
  1396. ; Turn on/off PSL/SVA cover log. Default is off "0".
  1397. ; CoverLog = 1
  1398. ; Set "at_least" value for all PSL/SVA cover directives. Default is 1.
  1399. ; CoverAtLeast = 2
  1400. ; Set "limit" value for all PSL/SVA cover directives. Default is -1.
  1401. ; Any positive integer, -1 for infinity.
  1402. ; CoverLimit = 1
  1403. ; Specify the coverage database filename.
  1404. ; Default is "" (i.e. database is NOT automatically saved on close).
  1405. ; UCDBFilename = vsim.ucdb
  1406. ; Specify the maximum limit for the number of Cross (bin) products reported
  1407. ; in XML and UCDB report against a Cross. A warning is issued if the limit
  1408. ; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this
  1409. ; setting.
  1410. ; MaxReportRhsSVCrossProducts = 1000
  1411. ; Specify the override for the "auto_bin_max" option for the Covergroups.
  1412. ; If not specified then value from Covergroup "option" is used.
  1413. ; SVCoverpointAutoBinMax = 64
  1414. ; Specify the override for the value of "cross_num_print_missing"
  1415. ; option for the Cross in Covergroups. If not specified then value
  1416. ; specified in the "option.cross_num_print_missing" is used. This
  1417. ; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
  1418. ; value specified by user in source file and any SVCrossNumPrintMissingDefault
  1419. ; specified in modelsim.ini.
  1420. ; SVCrossNumPrintMissing = 0
  1421. ; Specify whether to use the value of "cross_num_print_missing"
  1422. ; option in report and GUI for the Cross in Covergroups. If not specified then
  1423. ; cross_num_print_missing is ignored for creating reports and displaying
  1424. ; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
  1425. ; UseSVCrossNumPrintMissing = 0
  1426. ; Specify the threshold of Coverpoint wildcard bin value range size, above which
  1427. ; a warning will be triggered. The default is 4K -- 12 wildcard bits.
  1428. ; SVCoverpointWildCardBinValueSizeWarn = 4096
  1429. ; Specify the override for the value of "strobe" option for the
  1430. ; Covergroup Type. If not specified then value in "type_option.strobe"
  1431. ; will be used. This is runtime option which forces "strobe" to
  1432. ; user specified value and supersedes user specified values in the
  1433. ; SystemVerilog Code. NOTE: This also overrides the compile time
  1434. ; default value override specified using "SVCovergroupStrobeDefault"
  1435. ; SVCovergroupStrobe = 0
  1436. ; Override for explicit assignments in source code to "option.goal" of
  1437. ; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
  1438. ; default value of "option.goal" (defined to be 100 in the SystemVerilog
  1439. ; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
  1440. ; SVCovergroupGoal = 100
  1441. ; Override for explicit assignments in source code to "type_option.goal" of
  1442. ; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
  1443. ; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
  1444. ; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
  1445. ; SVCovergroupTypeGoal = 100
  1446. ; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage()
  1447. ; builtin functions, and report. This setting changes the default values of
  1448. ; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3
  1449. ; behavior if explicit assignments are not made on option.get_inst_coverage and
  1450. ; type_option.merge_instances by the user. There are two vsim command line
  1451. ; options, -cvg63 and -nocvg63 to override this setting from vsim command line.
  1452. ; The default value of this variable from release 6.6 onwards is 0. This default
  1453. ; drives compliance with the clarified behavior in the IEEE 1800-2009 standard.
  1454. ; SVCovergroup63Compatibility = 0
  1455. ; Enforce the default behavior of covergroup get_coverage() builtin function, GUI
  1456. ; and report. This variable sets the default value of type_option.merge_instances.
  1457. ; There are two vsim command line options, -cvgmergeinstances and
  1458. ; -nocvgmergeinstances to override this setting from vsim command line.
  1459. ; The default value of this variable, -1 (don't care), allows the tool to determine
  1460. ; the effective value, based on factors related to capacity and optimization.
  1461. ; The type_option.merge_instances appears in the GUI and coverage reports as either
  1462. ; auto(1) or auto(0), depending on whether the effective value was determined to
  1463. ; be a 1 or a 0.
  1464. ; SVCovergroupMergeInstancesDefault = -1
  1465. ; Enable or disable generation of more detailed information about the sampling
  1466. ; of covergroup, cross, and coverpoints. It provides the details of the number
  1467. ; of times the covergroup instance and type were sampled, as well as details
  1468. ; about why covergroup, cross and coverpoint were not covered. A non-zero value
  1469. ; is to enable this feature. 0 is to disable this feature. Default is 0
  1470. ; SVCovergroupSampleInfo = 0
  1471. ; Specify the maximum number of Coverpoint bins in whole design for
  1472. ; all Covergroups.
  1473. ; MaxSVCoverpointBinsDesign = 2147483648
  1474. ; Specify maximum number of Coverpoint bins in any instance of a Covergroup, default is 2^10 bins
  1475. ; MaxSVCoverpointBinsInst = 1048576
  1476. ; Specify the maximum number of Cross bins in whole design for
  1477. ; all Covergroups.
  1478. ; MaxSVCrossBinsDesign = 2147483648
  1479. ; Specify maximum number of Cross bins in any instance of a Covergroup, default is 2^16 bins
  1480. ; MaxSVCrossBinsInst = 67108864
  1481. ; Specify whether vsim will collect the coverage data of zero-weight coverage items or not.
  1482. ; By default, this variable is set 0, in which case option.no_collect setting will take effect.
  1483. ; If this variable is set to 1, all zero-weight coverage items will not be saved.
  1484. ; Note that the usage of vsim switch -cvgzwnocollect, if present, will override the setting
  1485. ; of this variable.
  1486. ; CvgZWNoCollect = 1
  1487. ; Specify a space delimited list of double quoted TCL style
  1488. ; regular expressions which will be matched against the text of all messages.
  1489. ; If any regular expression is found to be contained within any message, the
  1490. ; status for that message will not be propagated to the UCDB TESTSTATUS.
  1491. ; If no match is detected, then the status will be propagated to the
  1492. ; UCDB TESTSTATUS. More than one such regular expression text is allowed,
  1493. ; and each message text is compared for each regular expression in the list.
  1494. ; UCDBTestStatusMessageFilter = "Done with Test Bench" "Ignore .* message"
  1495. ; Set weight for all PSL/SVA cover directives. Default is 1.
  1496. ; CoverWeight = 2
  1497. ; Check vsim plusargs. Default is 0 (off).
  1498. ; 0 = Don't check plusargs
  1499. ; 1 = Warning on unrecognized plusarg
  1500. ; 2 = Error and exit on unrecognized plusarg
  1501. ; CheckPlusargs = 1
  1502. ; Load the specified shared objects with the RTLD_GLOBAL flag.
  1503. ; This gives global visibility to all symbols in the shared objects,
  1504. ; meaning that subsequently loaded shared objects can bind to symbols
  1505. ; in the global shared objects. The list of shared objects should
  1506. ; be whitespace delimited. This option is not supported on the
  1507. ; Windows or AIX platforms.
  1508. ; GlobalSharedObjectList = example1.so example2.so example3.so
  1509. ; Generate the stub definitions for the undefined symbols in the shared libraries being
  1510. ; loaded in the simulation. When this flow is turned on, the undefined symbols will not
  1511. ; prevent vsim from loading. Calling undefined symbols at runtime will cause fatal error.
  1512. ; The valid arguments are: on, off, verbose.
  1513. ; on : turn on the automatic generation of stub definitions.
  1514. ; off: turn off the flow. The undefined symbols will trigger an immediate load failure.
  1515. ; verbose: Turn on the flow and report the undefined symbols for each shared library.
  1516. ; NOTE: This variable can be overriden with vsim switch "-undefsyms".
  1517. ; The default is on.
  1518. ;
  1519. ; UndefSyms = off
  1520. ; Enable the support for checkpointing foreign C++ libraries.
  1521. ; The valid arguments are: 1 and 0.
  1522. ; 1 : turn on the support
  1523. ; 0 : turn off the support (default)
  1524. ; This option is not supported on the Windows platforms.
  1525. ;
  1526. ; AllowCheckpointCpp = 1
  1527. ; Initial seed for the random number generator of the root thread (SystemVerilog).
  1528. ; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch.
  1529. ; The default value is 0.
  1530. ; Sv_Seed = 0
  1531. ; Specify the solver "engine" that vsim will select for constrained random
  1532. ; generation.
  1533. ; Valid values are:
  1534. ; "auto" - automatically select the best engine for the current
  1535. ; constraint scenario
  1536. ; "bdd" - evaluate all constraint scenarios using the BDD solver engine
  1537. ; "act" - evaluate all constraint scenarios using the ACT solver engine
  1538. ; While the BDD solver engine is generally efficient with constraint scenarios
  1539. ; involving bitwise logical relationships, the ACT solver engine can exhibit
  1540. ; superior performance with constraint scenarios involving large numbers of
  1541. ; random variables related via arithmetic operators (+, *, etc).
  1542. ; NOTE: This variable can be overridden with the vsim "-solveengine" command
  1543. ; line switch.
  1544. ; The default value is "auto".
  1545. ; SolveEngine = auto
  1546. ; Specifies the maximum size that a dynamic array may be resized to by the
  1547. ; solver. If the solver attempts to resize a dynamic array to a size greater
  1548. ; than the specified limit, the solver will abort with an error.
  1549. ; The default value is 10000. A value of 0 indicates no limit.
  1550. ; SolveArrayResizeMax = 10000
  1551. ; Specify error message severity when randomize() and randomize(null) failures
  1552. ; are detected.
  1553. ;
  1554. ; Integer value up to two digits are allowed with each digit having the following legal values:
  1555. ; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal
  1556. ;
  1557. ; 1) When a value with two digits is used, the digit at tenth place (leftmost digit) represents
  1558. ; the severtity setting for normal randomize() calls. The digit at ones place (rightmost digit)
  1559. ; represents the setting for randomize(null) calls.
  1560. ;
  1561. ; 2) When a single digit value is used, the setting is applied to both normal randomize() call
  1562. ; and randomize(null) call.
  1563. ;
  1564. ; Example: Fatal error for randomize() failures and NO error for randomize(null) failures
  1565. ; -solvefailseverity=40
  1566. ;
  1567. ; NOTE: SolveFailSeverity can affect the behavior of SolveFailDebug. When SolveFailDebug is
  1568. ; enabled, a constraint contradiction report will be displayed for randomize() calls that
  1569. ; have a message severity >= warning (i.e. constraint contradiction reports will not be
  1570. ; generated for randomize() calls having a "no error" severity level)
  1571. ;
  1572. ; NOTE: This variable can be overridden with the vsim "-solvefailseverity" command
  1573. ; line switch.
  1574. ;
  1575. ; The default is 1 (warning).
  1576. ; SolveFailSeverity = 1
  1577. ; Error message severity for suppressible errors that are detected in a
  1578. ; solve/before constraint.
  1579. ; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal
  1580. ; NOTE: This variable can be overridden with the vsim "-solvebeforeerrorseverity"
  1581. ; command line switch.
  1582. ; The default is 3 (failure).
  1583. ; SolveBeforeErrorSeverity = 3
  1584. ; Error message severity for suppressible errors that are related to
  1585. ; solve engine capacity limits
  1586. ; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal
  1587. ; NOTE: This variable can be overridden with the vsim "-solveengineerrorseverity"
  1588. ; command line switch.
  1589. ; The default is 3 (failure).
  1590. ; SolveEngineErrorSeverity = 3
  1591. ; Enable/disable constraint conflicts on randomize() failure
  1592. ; Valid values:
  1593. ; 0 - disable solvefaildebug
  1594. ; 1 - basic debug (no performance penalty)
  1595. ; 2 - enhanced debug (runtime performance penalty)
  1596. ;
  1597. ; NOTE: SolveFailSeverity can affect the behavior of SolveFailDebug. When SolveFailDebug is
  1598. ; enabled, a constraint contradiction report will be displayed for randomize() calls that
  1599. ; have a message severity >= warning (i.e. constraint contradiction reports will not be
  1600. ; generated for randomize() calls having a "no error" severity level)
  1601. ;
  1602. ; NOTE: This variable can be overridden with the vsim "-solvefaildebug" command
  1603. ; line switch.
  1604. ;
  1605. ; The default is 1 (basic debug).
  1606. ; SolveFailDebug = 1
  1607. ; Upon encountering a randomize() failure, generate a simplified testcase that
  1608. ; will reproduce the failure. Optionally output the testcase to a file.
  1609. ; Testcases for 'no-solution' failures will only be produced if SolveFailDebug
  1610. ; is enabled (see above).
  1611. ; NOTE: This variable can be overridden with the vsim "-solvefailtestcase"
  1612. ; command line switch.
  1613. ; The default is OFF (do not generate a testcase). To enable testcase
  1614. ; generation, uncomment this variable. To redirect testcase generation to a
  1615. ; file, specify the name of the output file.
  1616. ; SolveFailTestcase =
  1617. ; Specify solver timeout threshold (in seconds). randomize() will fail if the
  1618. ; CPU time required to evaluate any randset exceeds the specified timeout.
  1619. ; The default value is 500. A value of 0 will disable timeout failures.
  1620. ; SolveTimeout = 500
  1621. ; Specify the maximum size of the solution graph generated by the BDD solver.
  1622. ; This value can be used to force the BDD solver to abort the evaluation of a
  1623. ; complex constraint scenario that cannot be evaluated with finite memory.
  1624. ; This value is specified in 1000s of nodes.
  1625. ; The default value is 10000. A value of 0 indicates no limit.
  1626. ; SolveGraphMaxSize = 10000
  1627. ; Specify the maximum number of evaluations that may be performed on the
  1628. ; solution graph by the BDD solver. This value can be used to force the BDD
  1629. ; solver to abort the evaluation of a complex constraint scenario that cannot
  1630. ; be evaluated in finite time. This value is specified in 10000s of evaluations.
  1631. ; The default value is 10000. A value of 0 indicates no limit.
  1632. ; SolveGraphMaxEval = 10000
  1633. ; Specify random sequence compatiblity with a prior release. This
  1634. ; option is used to get the same random sequences during simulation as
  1635. ; as a prior release. Only prior releases with the same major version
  1636. ; as the current release are allowed.
  1637. ; NOTE: Only those random sequence changes due to solver optimizations are
  1638. ; reverted by this variable. Random sequence changes due to solver bugfixes
  1639. ; cannot be un-done.
  1640. ; NOTE: This variable can be overridden with the vsim "-solverev" command
  1641. ; line switch.
  1642. ; Default value set to "" (no compatibility).
  1643. ; SolveRev =
  1644. ; Environment variable expansion of command line arguments has been depricated
  1645. ; in favor shell level expansion. Universal environment variable expansion
  1646. ; inside -f files is support and continued support for MGC Location Maps provide
  1647. ; alternative methods for handling flexible pathnames.
  1648. ; The following line may be uncommented and the value set to 1 to re-enable this
  1649. ; deprecated behavior. The default value is 0.
  1650. ; DeprecatedEnvironmentVariableExpansion = 0
  1651. ; Specify the memory threshold for the System Verilog garbage collector.
  1652. ; The value is the number of megabytes of class objects that must accumulate
  1653. ; before the garbage collector is run.
  1654. ; The GCThreshold setting is used when class debug mode is disabled to allow
  1655. ; less frequent garbage collection and better simulation performance.
  1656. ; The GCThresholdClassDebug setting is used when class debug mode is enabled
  1657. ; to allow for more frequent garbage collection.
  1658. ; GCThreshold = 100
  1659. ; GCThresholdClassDebug = 5
  1660. ; Turn on/off collapsing of bus ports in VCD dumpports output
  1661. DumpportsCollapse = 1
  1662. ; Location of Multi-Level Verification Component (MVC) installation.
  1663. ; The default location is the product installation directory.
  1664. MvcHome = $MODEL_TECH/..
  1665. ; Location of InFact installation. The default is $MODEL_TECH/../../infact
  1666. ;
  1667. ; InFactHome = $MODEL_TECH/../../infact
  1668. ; Initialize SystemVerilog enums using the base type's default value
  1669. ; instead of the leftmost value.
  1670. ; EnumBaseInit = 1
  1671. ; Suppress file type registration.
  1672. ; SuppressFileTypeReg = 1
  1673. ; Enable/disable non-LRM compliant SystemVerilog language extensions.
  1674. ; Valid extensions are:
  1675. ; altdpiheader - Alternative style function signature generated in DPI header",
  1676. ; cfce - generate an error if $cast fails as a function
  1677. ; cfmt - C like formatting for specifiers with '#' prefix ('%#x', '%#h')
  1678. ; dfsp - sets default format specifier as %p, if no format specifier is given for unpacked array in $display and related systasks
  1679. ; expdfmt - enable format string extensions for $display/$sformatf
  1680. ; extscan - support values greater than 32 bit for string builtin methods (atohex, atobin, atooct, atoi)
  1681. ; fmtcap - prints capital hex digits with %X/%H in display calls
  1682. ; iddp - ignore DPI disable protocol check
  1683. ; lfmt - zero-pad data if '0' prefixes width in format specifier (e.g. "%04h")
  1684. ; noexptc - ignore DPI export type name overloading check
  1685. ; realrand - support randomize() with real variables and constraints (Default)
  1686. ; SvExtensions = [+|-]<extension>[,[+|-]<extension>*]
  1687. ; Enable/disable non-LRM compliant SystemVerilog constrained-random language extensions.
  1688. ; Valid extensions are:
  1689. ; arraymode - consider rand_mode of unpacked array field independently from its elements
  1690. ; deepcheck - allow randomize(null) to recursively consider constraints from member rand class handles
  1691. ; funcback - enable function backtracking (ACT only)
  1692. ; genmodseedfix - enable LRM-compliant seeding of module/interface instances under for-generate blocks
  1693. ; nodist - interpret 'dist' constraint as 'inside' (ACT only)
  1694. ; noorder - ignore solve/before ordering constraints (ACT only)
  1695. ; pathseed - enable unique seeding of module instances based on hierarchical path name
  1696. ; promotedist - promote priority of 'dist' constraint if LHS has no solve/before
  1697. ; randindex - allow random index in constraint (Default)
  1698. ; randstruct - consider all fields of unpacked structs as 'rand'
  1699. ; skew - skew randomize results (ACT only)
  1700. ; strictstab - strict random stability
  1701. ; SvRandExtensions = [+|-]<extension>[,[+|-]<extension>*]
  1702. ; Controls the formatting of '%p' and '%P' conversion specification, used in $display
  1703. ; and similar system tasks.
  1704. ; 1. SVPrettyPrintFlags=I<n><S|T> use <n> spaces(S) or tabs(T) per indentation level.
  1705. ; The 'I' flag when present causes relevant data types to be expanded and indented into
  1706. ; a more readable format.
  1707. ; (e.g. SVPrettyPrintFlags=I4S will cause 4 spaces to be used per indentation level).
  1708. ; 2. SVPrettyPrintFlags=L<numLines> limits the output to <numLines> lines.
  1709. ; (e.g. SVPrettyPrintFlags=L20 will limit the output to 20 lines).
  1710. ; 3. SVPrettyPrintFlags=C<numChars> limits the output to <numChars> characters.
  1711. ; (e.g. SVPrettyPrintFlags=C256 will limit the output to 256 characters).
  1712. ; 4. SVPrettyPrintFlags=F<numFields> limits the output to <numFields> of relevant datatypes
  1713. ; (e.g. SVPrettyPrintFlags=F4 will limit the output to 4 fields of a structure).
  1714. ; 5. SVPrettyPrintFlags=E<numElements> limits the output to <numElements> of relevant datatypes
  1715. ; (e.g. SVPrettyPrintFlags=E50 will limit the output to 50 elements of an array).
  1716. ; 6. SVPrettyPrintFlags=D<depth> suppresses the output of sub-elements below <depth>.
  1717. ; (e.g. SVPrettyPrintFlags=D5 will suppresses the output of sub elements below a depth of 5).
  1718. ; 7. SVPrettyPrintFlags=R<specifier> shows the output of specifier %p as per the specifed radix.
  1719. ; It changes the output in $display and similar systasks. It does not affect formatted output functions ($displayh etc)).
  1720. ; (e.g. SVPrettyPrintFlags=Rb will show the output of %p specifier in binary format.
  1721. ; 8. Items 1-7 above can be combined as a comma separated list.
  1722. ; (e.g. SVPrettyPrintFlags=I4S,L20,C256,F4,E50,D5,Rb)
  1723. ; SVPrettyPrintFlags=I4S
  1724. [lmc]
  1725. ; The simulator's interface to Logic Modeling's SmartModel SWIFT software
  1726. libsm = $MODEL_TECH/libsm.sl
  1727. ; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
  1728. ; libsm = $MODEL_TECH/libsm.dll
  1729. ; Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
  1730. ; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
  1731. ; Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
  1732. ; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
  1733. ; Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
  1734. ; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
  1735. ; Logic Modeling's SmartModel SWIFT software (Windows NT)
  1736. ; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
  1737. ; Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
  1738. ; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
  1739. ; Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
  1740. ; libswift = $LMC_HOME/lib/linux.lib/libswift.so
  1741. ; The simulator's interface to Logic Modeling's hardware modeler SFI software
  1742. libhm = $MODEL_TECH/libhm.sl
  1743. ; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
  1744. ; libhm = $MODEL_TECH/libhm.dll
  1745. ; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
  1746. ; libsfi = <sfi_dir>/lib/hp700/libsfi.sl
  1747. ; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
  1748. ; libsfi = <sfi_dir>/lib/rs6000/libsfi.a
  1749. ; Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
  1750. ; libsfi = <sfi_dir>/lib/sun4.solaris/libsfi.so
  1751. ; Logic Modeling's hardware modeler SFI software (Windows NT)
  1752. ; libsfi = <sfi_dir>/lib/pcnt/lm_sfi.dll
  1753. ; Logic Modeling's hardware modeler SFI software (Linux)
  1754. ; libsfi = <sfi_dir>/lib/linux/libsfi.so
  1755. [msg_system]
  1756. ; Change a message severity or suppress a message.
  1757. ; The format is: <msg directive> = <msg number>[,<msg number>...]
  1758. ; suppress can be used to achieve +nowarn<CODE> functionality
  1759. ; The format is: suppress = <CODE>,<msg number>,[<CODE>,<msg number>,...]
  1760. ; Examples:
  1761. suppress = 13324,12110,8780 ;an explanation can be had by running: verror 8780
  1762. ; note = 3009
  1763. ; warning = 3033
  1764. ; error = 3010,3016
  1765. ; fatal = 3016,3033
  1766. ; suppress = 3009,3016,3601
  1767. ; suppress = 3009,CNNODP,3601,TFMPC
  1768. ; suppress = 8683,8684
  1769. ; The command verror <msg number> can be used to get the complete
  1770. ; description of a message.
  1771. ; Control transcripting of Verilog display system task messages and
  1772. ; PLI/FLI print function call messages. The system tasks include
  1773. ; $display[bho], $strobe[bho], $monitor[bho], and $write[bho]. They
  1774. ; also include the analogous file I/O tasks that write to STDOUT
  1775. ; (i.e. $fwrite or $fdisplay). The PLI/FLI calls include io_printf,
  1776. ; vpi_printf, mti_PrintMessage, and mti_PrintFormatted. The default
  1777. ; is to have messages appear only in the transcript. The other
  1778. ; settings are to send messages to the wlf file only (messages that
  1779. ; are recorded in the wlf file can be viewed in the MsgViewer) or
  1780. ; to both the transcript and the wlf file. The valid values are
  1781. ; tran {transcript only (default)}
  1782. ; wlf {wlf file only}
  1783. ; both {transcript and wlf file}
  1784. ; displaymsgmode = tran
  1785. ; Control transcripting of elaboration/runtime messages not
  1786. ; addressed by the displaymsgmode setting. The default is to
  1787. ; have messages appear only in the transcript. The other settings
  1788. ; are to send messages to the wlf file only (messages that are
  1789. ; recorded in the wlf file can be viewed in the MsgViewer) or to both
  1790. ; the transcript and the wlf file. The valid values are
  1791. ; tran {transcript only (default)}
  1792. ; wlf {wlf file only}
  1793. ; both {transcript and wlf file}
  1794. ; msgmode = tran
  1795. ; Controls number of displays of a particluar message
  1796. ; default value is 5
  1797. ; MsgLimitCount = 5
  1798. [utils]
  1799. ; Default Library Type (while creating a library with "vlib")
  1800. ; 0 - legacy library using subdirectories for design units
  1801. ; 2 - flat library
  1802. ; DefaultLibType = 2
  1803. ; Flat Library Page Size (while creating a library with "vlib")
  1804. ; Set the size in bytes for flat library file pages. Libraries containing
  1805. ; very large files may benefit from a larger value.
  1806. ; FlatLibPageSize = 8192
  1807. ; Flat Library Page Cleanup Percentage (while creating a library with "vlib")
  1808. ; Set the percentage of total pages deleted before library cleanup can occur.
  1809. ; This setting is applied together with FlatLibPageDeleteThreshold.
  1810. ; FlatLibPageDeletePercentage = 50
  1811. ; Flat Library Page Cleanup Threshold (while creating a library with "vlib")
  1812. ; Set the number of pages deleted before library cleanup can occur.
  1813. ; This setting is applied together with FlatLibPageDeletePercentage.
  1814. ; FlatLibPageDeleteThreshold = 1000
  1815. ; This section contains special setup for Questa ADMS tool
  1816. [vaspi]
  1817. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1818. ; generation of the spice subckt units
  1819. ;
  1820. ; UseNewFlow
  1821. ; * When set to 0, this is equivalent to -arch
  1822. ; * When set to 1, this is equivalent to -noarch.
  1823. ;
  1824. ; Default is on
  1825. ; UseNewFlow = 0
  1826. ;
  1827. ; UseCktName, only looked if UseNewFlow set to 1 or if vaspi -noarch run.
  1828. ; * When set to 0, this is equivalent to -digname.
  1829. ; * When set to 1, this is equivalent to -cktname
  1830. ;
  1831. ; Default is off
  1832. ; UseCktName = 1
  1833. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1834. ; This section contains special setup for Questa ADMS tool
  1835. [vasim]
  1836. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1837. ; Update simulation time
  1838. ;
  1839. ; Specifies how often the simulation time is updated
  1840. ; during a simulation in graphical mode.
  1841. ; Default is 1000 (ms)
  1842. ; UpdateSimulationTimeDelayGraph = 1000
  1843. ;
  1844. ; Specifies how often the simulation time is updated
  1845. ; during a batch simulation.
  1846. ; Default is 10000 (ms)
  1847. ; UpdateSimulationTimeDelayBatch = 10000
  1848. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1849. ; Automatic debug
  1850. ;
  1851. ; Specifies the Delta value to start automatic debug
  1852. ; before the iteration limit is reached.
  1853. ; Default value is 10.
  1854. ; IterationLimitDeltaDebug = 10
  1855. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1856. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1857. ; Error message management
  1858. ;
  1859. ; Specifies the number of times a warning message will be displayed.
  1860. ; Default valus is 5.
  1861. ; MaxNbOfDisplayedMsg = 5
  1862. ;
  1863. ; Limits the number of notes and messages that are written to the transcript window.
  1864. ; When set to 1, all notes and messages are displayed in the transcript.
  1865. ; When set to 0, only the most important notes and messages are in the
  1866. ; transcript (other messages are available in a separate file)
  1867. ; Default verbose mode is on
  1868. ; VerboseMode = 0
  1869. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1870. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1871. ; Display all Analog Engines (ADiT, Eldo and Premier) Convergence Messages
  1872. ;
  1873. ; VerboseAnalog controls the display of the convergence progress information written to the Transcript Window.
  1874. ; When enabled, all convergence information coming from the analog solvers are displayed.
  1875. ; When disabled, the extended set of convergence messages are not written.
  1876. ; By default, it is disabled; VerboseAnalog is set to 0.
  1877. ; VerboseAnalog = 1
  1878. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1879. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1880. ; Incremental saving
  1881. ;
  1882. ; Use incremental saving with Questa ADMS kernel
  1883. ; Default is on
  1884. ; JwdbIncrementalSaving = 0
  1885. ;
  1886. ; The waveform data stored in the JWDB will be saved to disk
  1887. ; when it reaches the value of the variable JwdbSpillThreshold
  1888. ; Default is on
  1889. ; JwdbIncrementalSavingOnMemory = 0
  1890. ;
  1891. ; Specifies the size of the JWDB that a save will be performed.
  1892. ; Default value is 104800000
  1893. ; JwdbSpillThreshold = 104800000
  1894. ;
  1895. ; Specify the time before each Questa ADMS kernel flush in JWDB server.
  1896. ; Default value is 10 (means 10 second)
  1897. ; JwdbIncrementalSavingFlushDelay = 10
  1898. ;
  1899. ; Saved database in JWDB each time the user specifies a break
  1900. ; Default is off
  1901. ; JwdbIncrementalSavingOnBreak = 1
  1902. ;
  1903. ; Saved database in JWDB each time the user specifies a break
  1904. ; Default is off
  1905. ; SaveJwdbAtBreak = 1
  1906. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1907. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1908. ; Management of the previous session results in viewer
  1909. ;
  1910. ; Simulation results from the last session will be saved
  1911. ; in the PreviousSession folder of the Ezwave "Waveform List" window.
  1912. ; Default is off
  1913. ; AutoSaveInPreviousSession = 1
  1914. ;
  1915. ; Always keep the simulation result at restart
  1916. ; Default is off
  1917. ; AlwaysAutoSaveInPreviousSessionAtRestart = 1
  1918. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1919. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1920. ; Extended identifiers
  1921. ;
  1922. ; Use of Eldo extended identifiers.
  1923. ; Default is on
  1924. ; ExtendedId = 0
  1925. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1926. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1927. ; Output format
  1928. ;
  1929. ; Specifies the output format of the simulation results.
  1930. ; Default value is jwdb (means using WDB format)
  1931. ; OutputResults = cou
  1932. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1933. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1934. ; Statistics dump
  1935. ;
  1936. ; Number of boundary nodes to be displayed in the final statistics
  1937. ; of a mixed simulation.
  1938. ; Default value is 10
  1939. ; BoundaryStatListNb = 10
  1940. ;
  1941. ; Boundary nodes with an absolute voltage value greater or equal to
  1942. ; BoundaryStatHighVoltage will be displayed in output statistics file.
  1943. ; Default value is 10 V
  1944. ; BoundaryStatHighVoltage = 10.0
  1945. ;
  1946. ; Display summary stat in output statistics file at each simulation stop.
  1947. ; Default is off.
  1948. ; DisplayOutputSummaryStatsAtEachTStop = 1
  1949. ;
  1950. ; Display postprocessing in output statistics file at each simulation stop.
  1951. ; Default is off.
  1952. ; DisplayOutputPostprocessingAtTStop = 1
  1953. ;
  1954. ; Specifies the maximum size of the statistics file.
  1955. ; Default value is 50000000
  1956. ; StatisticsFileMaximumSize = 50000000
  1957. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1958. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1959. ; Unique Hierarchy management
  1960. ;
  1961. ; Use unique hierarchy management when creating curves in database.
  1962. ; Default is on
  1963. ; UniqueHierarchy = 0
  1964. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1965. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1966. ; Mapping by name with vectors
  1967. ;
  1968. ; List of patterns used for vector name mapping
  1969. ; Default value is @name@_@idx@ @name@[@idx@] @name@<@idx@> @name@\\<@idx@\\>
  1970. ;
  1971. ; VectorPatternString = @name@_@idx@ @name@[@idx@] @name@<@idx@> @name@\\<@idx@\\>
  1972. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1973. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1974. ; Show vasim shell command
  1975. ; Transcript contains an extra line showing the
  1976. ; vasim command line used to start Questa ADMS.
  1977. ; This command is a shell command, not a Tcl command.
  1978. ; Default is off
  1979. ; ShowVasimShellCommand = 1
  1980. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1981. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1982. ; force ezwave to check the unicity of each added waveform
  1983. ; Default is on
  1984. ; GuiForceUniqueWaveform = 0
  1985. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1986. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1987. ; By default in graphical mode EZwave is not docked in QuestaAdms GUI.
  1988. ; DockEZwaveInQuestaAdms = 1
  1989. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1990. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1991. ; Decide what part of boundary elements are to be viewed in viewer
  1992. ; when using "add wave" command
  1993. ; analog: show analog (terminal) part. This is the default
  1994. ; digital: show digital (signal) parts.
  1995. ; both: show both analog and digital parts
  1996. ; WaveBoundariesAs = analog
  1997. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1998. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  1999. ; Update list window during simulation.
  2000. ; Default is off
  2001. ; ListWindowUpdate = 1
  2002. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2003. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2004. ; Fix max number of hierarchical level accepted before
  2005. ; recursivity instantiations detection.
  2006. ; Default value is 70
  2007. ; MaxInstantiationDepth = 70
  2008. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2009. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2010. ; Check if parameter to be overwritten exists
  2011. ; Default is off
  2012. ; CheckParameterExistence = 1
  2013. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2014. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2015. ; Turn off optimization of spice subckt parameters (when a spice
  2016. ; parameter is optimized (only some of them can be) it does not
  2017. ; appear anymore in GUI and can not be modified by defparam, -g...)
  2018. ; Default is on
  2019. ; SpiceParameterOpt = 0
  2020. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2021. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2022. ; If the tool detects that the application is bound to run out
  2023. ; of memory or if the disk is nearly full then simulation results
  2024. ; will be saved using checkpoint mechanism.
  2025. ; The possibles values are : enable, disable,
  2026. ; warnings_only, errors_only
  2027. ; Default is enable
  2028. ; StopAndSaveSimulationInCaseOfMemoryShortage = disable
  2029. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2030. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2031. ; In case of memory shortage the simulator process a
  2032. ; checkpoint with/without saving the wdb waves.
  2033. ; Default is off (without)
  2034. ; CheckpointWithWDBInCaseOfMemoryShortage = on
  2035. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2036. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2037. ; When a checkpoint is requested it is processed with/without
  2038. ; the wdb waves.
  2039. ; Default is on (with)
  2040. ; CheckpointWithWDB = off
  2041. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2042. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2043. ; Display comprehensive messages relative to spice nodes connection state.
  2044. ; Default is off
  2045. ; DisplaySpiceNodesConnectionState = 1
  2046. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2047. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2048. ; Specify path separator for Questa waveforms names in EZwave
  2049. ; QuestaWaveformsSeparator = :
  2050. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2051. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2052. ; This variable defines how many messages are issued when huge digital signal
  2053. ; values are used on analog side.
  2054. ; 0 means one warning maximum per signal, the 1st time its value becomes huge.
  2055. ; This is the default behavior.
  2056. ; 1 means each time the signal value become huge.
  2057. ; VerboseOnHugeD2AInteractions = 1
  2058. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2059. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2060. ; Analog diagnosis detects and reports possible design errors and constructs that could
  2061. ; slow down simulation.
  2062. ; It can be enabled to generate the data during simulation.
  2063. ; Checks during elaboration are always performed.
  2064. ;
  2065. ; When diagmode is set to norun, DC analysis diagnosis data are also generated.
  2066. ; No further simulation can be run.
  2067. ; diagmode = norun
  2068. ;
  2069. ; When diagmode is set to run, diagnosis data are generated during elaboration, DC
  2070. ; analysis and transient simulation.
  2071. ; diagmode = run
  2072. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2073. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2074. ; Determines if Eldo error, warning and note messages contain message numbers compatible with
  2075. ; verror (EnableReportCode=0), or traditional Eldo message numbers that
  2076. ; cannot be used with verror(EnableReportCode=1).
  2077. ; EnableReportCode = 1
  2078. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2079. ; This section contains special setup for Questa ADMS vacom tool
  2080. [vacom]
  2081. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2082. ; This variable tells the meaning of the option -f use with command
  2083. ; vacom. Until version 2010.1 -f used to mean -force in vacom
  2084. ; while it specifies a file containing command line arguments
  2085. ; with vcom. The variable allows to keep the historical behavior
  2086. ; or to be conformal with vcom behavior.
  2087. ; 0 means that -f means -force
  2088. ; This is the default behavior for compatibity.
  2089. ; 1 means that -f means -file
  2090. ; AmsCompileOption_F_MeansFileNotForce = 1
  2091. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2092. ; This section contains special setup for Questa ADMS valog tool
  2093. [valog]
  2094. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2095. ; This variable tells the meaning of the option -f use with command
  2096. ; valog. Until version 2010.1 -f used to mean -force in valog
  2097. ; while it specifies a file containing command line arguments
  2098. ; with vlog. The variable allows to keep the historical behavior
  2099. ; or to be conformal with vlog behavior.
  2100. ; 0 means that -f means -force
  2101. ; This is the default behavior for compatibity.
  2102. ; 1 means that -f means -file
  2103. ; AmsCompileOption_F_MeansFileNotForce = 1
  2104. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2105. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
  2106. ; Generate needed files for ADIT simulations
  2107. ; The possible values are : never, always, ifneeded
  2108. ; (ifneeded means will be partially generated by valog and by vasim
  2109. ; during the simulation load)
  2110. ; Default is "always" on Linux platforms and "never" on windows platforms
  2111. ; GenerateAditFiles = never
  2112. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;