Verwendeter Programmcode in Studienarbeit für ESY1B zum Thema "Verifikation mit SystemVerilog und Python"
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

run.do 52B

1234
  1. add wave -r *
  2. add wave -i -r *
  3. run -all
  4. quit -f