Verwendeter Programmcode in Studienarbeit für ESY1B zum Thema "Verifikation mit SystemVerilog und Python"
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

Makefile 573B

123456789101112131415161718192021222324
  1. filename = top
  2. pcf_file = io.pcf
  3. RPI_USER=pi
  4. RPI_IP=192.168.10.90
  5. RPI_DIR=/home/pi/ice40/build2
  6. build:
  7. yosys -p "synth_ice40 -blif $(filename).blif" $(filename).v
  8. arachne-pnr -d 5k -P sg48 -p $(pcf_file) $(filename).blif -o $(filename).asc
  9. icepack $(filename).asc $(filename).bin
  10. prog: #for sram
  11. iceprog -S $(filename).bin
  12. prog_flash:
  13. iceprog $(filename).bin
  14. rpi_prog: build
  15. scp $(filename).bin $(RPI_USER)@$(RPI_IP):$(RPI_DIR)
  16. ssh $(RPI_USER)@$(RPI_IP) "cd $(RPI_DIR); iceprog $(filename).bin"
  17. clean:
  18. rm -rf $(filename).blif $(filename).asc $(filename).bin