Verwendeter Programmcode in Studienarbeit für ESY1B zum Thema "Verifikation mit SystemVerilog und Python"
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

io.pcf 655B

123456789101112131415161718192021222324252627282930313233
  1. # For the iCE40 UltraPlus (iCE40UP5K-QFN) Breakout Board
  2. # 12 MHz Clock
  3. set_io clk 35
  4. set_io LED_R 41
  5. set_io LED_G 40
  6. set_io LED_B 39
  7. set_io SW[0] 23
  8. set_io SW[1] 25
  9. set_io SW[2] 34
  10. set_io SW[3] 43
  11. # bank 0,segments
  12. set_io seg_b 26 # IOT_39A
  13. set_io seg_a 27 # IOT_38B
  14. set_io seg_p 28 # IOT_41A
  15. set_io seg_f 31 # IOT_42B
  16. set_io seg_g 32 # IOT_43A
  17. set_io seg_e 37 # IOT_45A_G1
  18. set_io seg_d 42 # IOT_51A
  19. set_io seg_c 38 # IOT_50B
  20. # bank1 digit
  21. set_io dig_1 21 # IOT_23B
  22. set_io dig_2 20 # IOT_25B_G3
  23. set_io dig_3 19 # IOT_29B
  24. set_io dig_4 18 # IOT_31B
  25. set_io dig_5 11 # IOT_20A
  26. #spi
  27. set_io SPI_SS 16
  28. set_io SPI_SCK 15
  29. set_io SPI_MOSI 17
  30. set_io SPI_MISO 14