Verwendeter Programmcode in Studienarbeit für ESY1B zum Thema "Verifikation mit SystemVerilog und Python"
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

main.py 244B

12345678910
  1. import counter_4bit_conv, counter_4bit_tb
  2. import os
  3. # print("Konvertiere MyHDL Design in Verilog")
  4. # counter_4bit_conv.convert()
  5. print("Simuliere Verilog Design mit MyHDL")
  6. counter_4bit_tb.simulate()
  7. os.system("gtkwave.exe -S run.tcl *.lxt")