Verwendeter Programmcode in Studienarbeit für ESY1B zum Thema "Verifikation mit SystemVerilog und Python"
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

run.tcl 612B

12345678910111213141516171819202122
  1. ### --------------------------------------------------------------------
  2. ### gtkwave.tcl
  3. ### Author: Simon Schmidt
  4. ### --------------------------------------------------------------------
  5. # Resources:
  6. # Manual: http://gtkwave.sourceforge.net/gtkwave.pdf#Appendix-E-Tcl-Command-Syntax
  7. # Add all signals
  8. set nfacs [ gtkwave::getNumFacs ]
  9. set all_facs [list]
  10. for {set i 0} {$i < $nfacs } {incr i} {
  11. set facname [ gtkwave::getFacName $i ]
  12. lappend all_facs "$facname"
  13. }
  14. set num_added [ gtkwave::addSignalsFromList $all_facs ]
  15. puts "num signals added: $num_added"
  16. # zoom full
  17. gtkwave::/Time/Zoom/Zoom_Full