Verwendeter Programmcode in Studienarbeit für ESY1B zum Thema "Verifikation mit SystemVerilog und Python"
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

tb_counter_4bit.v 446B

1234567891011121314151617181920212223242526272829303132333435363738
  1. module tb_counter_4bit;
  2. reg clk;
  3. reg rst;
  4. reg [3:0] data;
  5. reg updown;
  6. reg load;
  7. wire [3:0] data_out;
  8. initial begin
  9. $from_myhdl(
  10. clk,
  11. rst,
  12. data,
  13. updown,
  14. load
  15. );
  16. $to_myhdl(
  17. data_out
  18. );
  19. // dump file
  20. $dumpfile("tb_counter_4bit.lxt");
  21. $dumpvars(0, tb_counter_4bit);
  22. end
  23. counter_4bit dut(
  24. clk,
  25. rst,
  26. data,
  27. updown,
  28. load,
  29. data_out
  30. );
  31. endmodule