@@ -1,4 +1,4 @@ | |||
version:1 | |||
57656254616c6b5472616e736d697373696f6e417474656d70746564:13 | |||
6d6f64655f636f756e7465727c4755494d6f6465:30 | |||
6d6f64655f636f756e7465727c4755494d6f6465:31 | |||
eof: |
@@ -38,7 +38,7 @@ version:1 | |||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 | |||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 | |||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64656275675f6c6f67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 | |||
73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a333873:00:00 | |||
73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313332392e3136384d42:00:00 | |||
73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:36372e3936394d42:00:00 | |||
eof:3050654593 | |||
73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a353573:00:00 | |||
73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313531372e3230334d42:00:00 | |||
73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3235362e3136304d42:00:00 | |||
eof:2090378854 |
@@ -0,0 +1,9 @@ | |||
<?xml version="1.0"?> | |||
<Runs Version="1" Minor="0"> | |||
<Run Id="synth_1" LaunchDir="C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> | |||
<Parameters> | |||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> | |||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> | |||
</Parameters> | |||
</Runs> | |||
@@ -0,0 +1,9 @@ | |||
<?xml version="1.0"?> | |||
<Runs Version="1" Minor="0"> | |||
<Run Id="synth_1" LaunchDir="C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> | |||
<Parameters> | |||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> | |||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> | |||
</Parameters> | |||
</Runs> | |||
@@ -0,0 +1,9 @@ | |||
<?xml version="1.0"?> | |||
<Runs Version="1" Minor="0"> | |||
<Run Id="synth_1" LaunchDir="C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> | |||
<Parameters> | |||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> | |||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> | |||
</Parameters> | |||
</Runs> | |||
@@ -0,0 +1,9 @@ | |||
<?xml version="1.0"?> | |||
<Runs Version="1" Minor="0"> | |||
<Run Id="synth_1" LaunchDir="C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> | |||
<Parameters> | |||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> | |||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> | |||
</Parameters> | |||
</Runs> | |||
@@ -0,0 +1,9 @@ | |||
<?xml version="1.0"?> | |||
<Runs Version="1" Minor="0"> | |||
<Run Id="synth_1" LaunchDir="C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> | |||
<Parameters> | |||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> | |||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> | |||
</Parameters> | |||
</Runs> | |||
@@ -0,0 +1,9 @@ | |||
<?xml version="1.0"?> | |||
<Runs Version="1" Minor="0"> | |||
<Run Id="synth_1" LaunchDir="C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> | |||
<Parameters> | |||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> | |||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> | |||
</Parameters> | |||
</Runs> | |||
@@ -0,0 +1,9 @@ | |||
<?xml version="1.0"?> | |||
<Runs Version="1" Minor="0"> | |||
<Run Id="synth_1" LaunchDir="C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> | |||
<Parameters> | |||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> | |||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> | |||
</Parameters> | |||
</Runs> | |||
@@ -0,0 +1,9 @@ | |||
<?xml version="1.0"?> | |||
<Runs Version="1" Minor="0"> | |||
<Run Id="synth_1" LaunchDir="C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> | |||
<Parameters> | |||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> | |||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> | |||
</Parameters> | |||
</Runs> | |||
@@ -0,0 +1,9 @@ | |||
<?xml version="1.0"?> | |||
<Runs Version="1" Minor="0"> | |||
<Run Id="synth_1" LaunchDir="C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> | |||
<Parameters> | |||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> | |||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> | |||
</Parameters> | |||
</Runs> | |||
@@ -0,0 +1,9 @@ | |||
<?xml version="1.0"?> | |||
<Runs Version="1" Minor="0"> | |||
<Run Id="synth_1" LaunchDir="C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> | |||
<Parameters> | |||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> | |||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> | |||
</Parameters> | |||
</Runs> | |||
@@ -0,0 +1,9 @@ | |||
<?xml version="1.0"?> | |||
<Runs Version="1" Minor="0"> | |||
<Run Id="synth_1" LaunchDir="C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> | |||
<Parameters> | |||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> | |||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> | |||
</Parameters> | |||
</Runs> | |||
@@ -0,0 +1,9 @@ | |||
<?xml version="1.0"?> | |||
<Runs Version="1" Minor="0"> | |||
<Run Id="synth_1" LaunchDir="C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> | |||
<Parameters> | |||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> | |||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> | |||
</Parameters> | |||
</Runs> | |||
@@ -0,0 +1,9 @@ | |||
<?xml version="1.0"?> | |||
<Runs Version="1" Minor="0"> | |||
<Run Id="synth_1" LaunchDir="C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> | |||
<Parameters> | |||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> | |||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> | |||
</Parameters> | |||
</Runs> | |||
@@ -0,0 +1,9 @@ | |||
<?xml version="1.0"?> | |||
<Runs Version="1" Minor="0"> | |||
<Run Id="synth_1" LaunchDir="C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> | |||
<Parameters> | |||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> | |||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> | |||
</Parameters> | |||
</Runs> | |||
@@ -0,0 +1,9 @@ | |||
<?xml version="1.0"?> | |||
<Runs Version="1" Minor="0"> | |||
<Run Id="synth_1" LaunchDir="C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> | |||
<Parameters> | |||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> | |||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> | |||
</Parameters> | |||
</Runs> | |||
@@ -0,0 +1,9 @@ | |||
<?xml version="1.0"?> | |||
<Runs Version="1" Minor="0"> | |||
<Run Id="synth_1" LaunchDir="C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> | |||
<Parameters> | |||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> | |||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> | |||
</Parameters> | |||
</Runs> | |||
@@ -0,0 +1,9 @@ | |||
<?xml version="1.0"?> | |||
<Runs Version="1" Minor="0"> | |||
<Run Id="synth_1" LaunchDir="C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> | |||
<Parameters> | |||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> | |||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> | |||
</Parameters> | |||
</Runs> | |||
@@ -0,0 +1,9 @@ | |||
<?xml version="1.0"?> | |||
<Runs Version="1" Minor="0"> | |||
<Run Id="synth_1" LaunchDir="C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> | |||
<Parameters> | |||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> | |||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> | |||
</Parameters> | |||
</Runs> | |||
@@ -0,0 +1,9 @@ | |||
<?xml version="1.0"?> | |||
<Runs Version="1" Minor="0"> | |||
<Run Id="synth_1" LaunchDir="C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> | |||
<Parameters> | |||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> | |||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> | |||
</Parameters> | |||
</Runs> | |||
@@ -0,0 +1,3 @@ | |||
set_property SRC_FILE_INFO {cfile:C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc rfile:../../../Coraz7_Test.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc id:1} [current_design] | |||
set_property src_info {type:XDC file:1 line:7 export:INPUT save:INPUT read:READ} [current_design] | |||
set_property -dict { PACKAGE_PIN H16 IOSTANDARD LVCMOS33 } [get_ports { clk }]; #IO_L13P_T2_MRCC_35 Sch=sysclk |
@@ -0,0 +1,5 @@ | |||
<?xml version="1.0"?> | |||
<ProcessHandle Version="1" Minor="0"> | |||
<Process Command="vivado.bat" Owner="Felix" Host="DESKTOP-PAACOM8" Pid="25900" HostCore="12" HostMemory="016927088640"> | |||
</Process> | |||
</ProcessHandle> |
@@ -0,0 +1,269 @@ | |||
// | |||
// Vivado(TM) | |||
// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 | |||
// Copyright 1986-1999, 2001-2013,2015 Xilinx, Inc. All Rights Reserved. | |||
// | |||
// GLOBAL VARIABLES | |||
var ISEShell = new ActiveXObject( "WScript.Shell" ); | |||
var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); | |||
var ISERunDir = ""; | |||
var ISELogFile = "runme.log"; | |||
var ISELogFileStr = null; | |||
var ISELogEcho = true; | |||
var ISEOldVersionWSH = false; | |||
// BOOTSTRAP | |||
ISEInit(); | |||
// | |||
// ISE FUNCTIONS | |||
// | |||
function ISEInit() { | |||
// 1. RUN DIR setup | |||
var ISEScrFP = WScript.ScriptFullName; | |||
var ISEScrN = WScript.ScriptName; | |||
ISERunDir = | |||
ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); | |||
// 2. LOG file setup | |||
ISELogFileStr = ISEOpenFile( ISELogFile ); | |||
// 3. LOG echo? | |||
var ISEScriptArgs = WScript.Arguments; | |||
for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) { | |||
if ( ISEScriptArgs(loopi) == "-quiet" ) { | |||
ISELogEcho = false; | |||
break; | |||
} | |||
} | |||
// 4. WSH version check | |||
var ISEOptimalVersionWSH = 5.6; | |||
var ISECurrentVersionWSH = WScript.Version; | |||
if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) { | |||
ISEStdErr( "" ); | |||
ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " + | |||
ISEOptimalVersionWSH + " or higher. Downloads" ); | |||
ISEStdErr( " for upgrading your Windows Scripting Host can be found here: " ); | |||
ISEStdErr( " http://msdn.microsoft.com/downloads/list/webdev.asp" ); | |||
ISEStdErr( "" ); | |||
ISEOldVersionWSH = true; | |||
} | |||
} | |||
function ISEStep( ISEProg, ISEArgs ) { | |||
// CHECK for a STOP FILE | |||
if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) { | |||
ISEStdErr( "" ); | |||
ISEStdErr( "*** Halting run - EA reset detected ***" ); | |||
ISEStdErr( "" ); | |||
WScript.Quit( 1 ); | |||
} | |||
// WRITE STEP HEADER to LOG | |||
ISEStdOut( "" ); | |||
ISEStdOut( "*** Running " + ISEProg ); | |||
ISEStdOut( " with args " + ISEArgs ); | |||
ISEStdOut( "" ); | |||
// LAUNCH! | |||
var ISEExitCode = ISEExec( ISEProg, ISEArgs ); | |||
if ( ISEExitCode != 0 ) { | |||
WScript.Quit( ISEExitCode ); | |||
} | |||
} | |||
function ISEExec( ISEProg, ISEArgs ) { | |||
var ISEStep = ISEProg; | |||
if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") { | |||
ISEProg += ".bat"; | |||
} | |||
var ISECmdLine = ISEProg + " " + ISEArgs; | |||
var ISEExitCode = 1; | |||
if ( ISEOldVersionWSH ) { // WSH 5.1 | |||
// BEGIN file creation | |||
ISETouchFile( ISEStep, "begin" ); | |||
// LAUNCH! | |||
ISELogFileStr.Close(); | |||
ISECmdLine = | |||
"%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1"; | |||
ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); | |||
ISELogFileStr = ISEOpenFile( ISELogFile ); | |||
} else { // WSH 5.6 | |||
// LAUNCH! | |||
ISEShell.CurrentDirectory = ISERunDir; | |||
// Redirect STDERR to STDOUT | |||
ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; | |||
var ISEProcess = ISEShell.Exec( ISECmdLine ); | |||
// BEGIN file creation | |||
var wbemFlagReturnImmediately = 0x10; | |||
var wbemFlagForwardOnly = 0x20; | |||
var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2"); | |||
var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly); | |||
var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly); | |||
var NOC = 0; | |||
var NOLP = 0; | |||
var TPM = 0; | |||
var cpuInfos = new Enumerator(processor); | |||
for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) { | |||
var cpuInfo = cpuInfos.item(); | |||
NOC += cpuInfo.NumberOfCores; | |||
NOLP += cpuInfo.NumberOfLogicalProcessors; | |||
} | |||
var csInfos = new Enumerator(computerSystem); | |||
for(;!csInfos.atEnd(); csInfos.moveNext()) { | |||
var csInfo = csInfos.item(); | |||
TPM += csInfo.TotalPhysicalMemory; | |||
} | |||
var ISEHOSTCORE = NOLP | |||
var ISEMEMTOTAL = TPM | |||
var ISENetwork = WScript.CreateObject( "WScript.Network" ); | |||
var ISEHost = ISENetwork.ComputerName; | |||
var ISEUser = ISENetwork.UserName; | |||
var ISEPid = ISEProcess.ProcessID; | |||
var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); | |||
ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" ); | |||
ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" ); | |||
ISEBeginFile.WriteLine( " <Process Command=\"" + ISEProg + | |||
"\" Owner=\"" + ISEUser + | |||
"\" Host=\"" + ISEHost + | |||
"\" Pid=\"" + ISEPid + | |||
"\" HostCore=\"" + ISEHOSTCORE + | |||
"\" HostMemory=\"" + ISEMEMTOTAL + | |||
"\">" ); | |||
ISEBeginFile.WriteLine( " </Process>" ); | |||
ISEBeginFile.WriteLine( "</ProcessHandle>" ); | |||
ISEBeginFile.Close(); | |||
var ISEOutStr = ISEProcess.StdOut; | |||
var ISEErrStr = ISEProcess.StdErr; | |||
// WAIT for ISEStep to finish | |||
while ( ISEProcess.Status == 0 ) { | |||
// dump stdout then stderr - feels a little arbitrary | |||
while ( !ISEOutStr.AtEndOfStream ) { | |||
ISEStdOut( ISEOutStr.ReadLine() ); | |||
} | |||
WScript.Sleep( 100 ); | |||
} | |||
ISEExitCode = ISEProcess.ExitCode; | |||
} | |||
ISELogFileStr.Close(); | |||
// END/ERROR file creation | |||
if ( ISEExitCode != 0 ) { | |||
ISETouchFile( ISEStep, "error" ); | |||
} else { | |||
ISETouchFile( ISEStep, "end" ); | |||
} | |||
return ISEExitCode; | |||
} | |||
// | |||
// UTILITIES | |||
// | |||
function ISEStdOut( ISELine ) { | |||
ISELogFileStr.WriteLine( ISELine ); | |||
if ( ISELogEcho ) { | |||
WScript.StdOut.WriteLine( ISELine ); | |||
} | |||
} | |||
function ISEStdErr( ISELine ) { | |||
ISELogFileStr.WriteLine( ISELine ); | |||
if ( ISELogEcho ) { | |||
WScript.StdErr.WriteLine( ISELine ); | |||
} | |||
} | |||
function ISETouchFile( ISERoot, ISEStatus ) { | |||
var ISETFile = | |||
ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); | |||
ISETFile.Close(); | |||
} | |||
function ISEOpenFile( ISEFilename ) { | |||
// This function has been updated to deal with a problem seen in CR #870871. | |||
// In that case the user runs a script that runs impl_1, and then turns around | |||
// and runs impl_1 -to_step write_bitstream. That second run takes place in | |||
// the same directory, which means we may hit some of the same files, and in | |||
// particular, we will open the runme.log file. Even though this script closes | |||
// the file (now), we see cases where a subsequent attempt to open the file | |||
// fails. Perhaps the OS is slow to release the lock, or the disk comes into | |||
// play? In any case, we try to work around this by first waiting if the file | |||
// is already there for an arbitrary 5 seconds. Then we use a try-catch block | |||
// and try to open the file 10 times with a one second delay after each attempt. | |||
// Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. | |||
// If there is an unrecognized exception when trying to open the file, we output | |||
// an error message and write details to an exception.log file. | |||
var ISEFullPath = ISERunDir + "/" + ISEFilename; | |||
if (ISEFileSys.FileExists(ISEFullPath)) { | |||
// File is already there. This could be a problem. Wait in case it is still in use. | |||
WScript.Sleep(5000); | |||
} | |||
var i; | |||
for (i = 0; i < 10; ++i) { | |||
try { | |||
return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); | |||
} catch (exception) { | |||
var error_code = exception.number & 0xFFFF; // The other bits are a facility code. | |||
if (error_code == 52) { // 52 is bad file name or number. | |||
// Wait a second and try again. | |||
WScript.Sleep(1000); | |||
continue; | |||
} else { | |||
WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); | |||
var exceptionFilePath = ISERunDir + "/exception.log"; | |||
if (!ISEFileSys.FileExists(exceptionFilePath)) { | |||
WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); | |||
var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); | |||
exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); | |||
exceptionFile.WriteLine("\tException name: " + exception.name); | |||
exceptionFile.WriteLine("\tException error code: " + error_code); | |||
exceptionFile.WriteLine("\tException message: " + exception.message); | |||
exceptionFile.Close(); | |||
} | |||
throw exception; | |||
} | |||
} | |||
} | |||
// If we reached this point, we failed to open the file after 10 attempts. | |||
// We need to error out. | |||
WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); | |||
WScript.Quit(1); | |||
} |
@@ -0,0 +1,84 @@ | |||
#!/bin/sh | |||
# | |||
# Vivado(TM) | |||
# ISEWrap.sh: Vivado Runs Script for UNIX | |||
# Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. | |||
# | |||
cmd_exists() | |||
{ | |||
command -v "$1" >/dev/null 2>&1 | |||
} | |||
HD_LOG=$1 | |||
shift | |||
# CHECK for a STOP FILE | |||
if [ -f .stop.rst ] | |||
then | |||
echo "" >> $HD_LOG | |||
echo "*** Halting run - EA reset detected ***" >> $HD_LOG | |||
echo "" >> $HD_LOG | |||
exit 1 | |||
fi | |||
ISE_STEP=$1 | |||
shift | |||
# WRITE STEP HEADER to LOG | |||
echo "" >> $HD_LOG | |||
echo "*** Running $ISE_STEP" >> $HD_LOG | |||
echo " with args $@" >> $HD_LOG | |||
echo "" >> $HD_LOG | |||
# LAUNCH! | |||
$ISE_STEP "$@" >> $HD_LOG 2>&1 & | |||
# BEGIN file creation | |||
ISE_PID=$! | |||
HostNameFile=/proc/sys/kernel/hostname | |||
if cmd_exists hostname | |||
then | |||
ISE_HOST=$(hostname) | |||
elif cmd_exists uname | |||
then | |||
ISE_HOST=$(uname -n) | |||
elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] | |||
then | |||
ISE_HOST=$(cat $HostNameFile) | |||
elif [ X != X$HOSTNAME ] | |||
then | |||
ISE_HOST=$HOSTNAME #bash | |||
else | |||
ISE_HOST=$HOST #csh | |||
fi | |||
ISE_USER=$USER | |||
ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l) | |||
ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo) | |||
ISE_BEGINFILE=.$ISE_STEP.begin.rst | |||
/bin/touch $ISE_BEGINFILE | |||
echo "<?xml version=\"1.0\"?>" >> $ISE_BEGINFILE | |||
echo "<ProcessHandle Version=\"1\" Minor=\"0\">" >> $ISE_BEGINFILE | |||
echo " <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\" HostCore=\"$ISE_HOSTCORE\" HostMemory=\"$ISE_MEMTOTAL\">" >> $ISE_BEGINFILE | |||
echo " </Process>" >> $ISE_BEGINFILE | |||
echo "</ProcessHandle>" >> $ISE_BEGINFILE | |||
# WAIT for ISEStep to finish | |||
wait $ISE_PID | |||
# END/ERROR file creation | |||
RETVAL=$? | |||
if [ $RETVAL -eq 0 ] | |||
then | |||
/bin/touch .$ISE_STEP.end.rst | |||
else | |||
/bin/touch .$ISE_STEP.error.rst | |||
fi | |||
exit $RETVAL | |||
@@ -0,0 +1,129 @@ | |||
<?xml version="1.0" encoding="UTF-8"?> | |||
<GenRun Id="synth_1" LaunchPart="xc7z010clg400-1" LaunchTime="1653339519" LaunchIncrCheckpoint="$PPRDIR/../../../../../StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp"> | |||
<File Type="PA-TCL" Name="regler.tcl"/> | |||
<File Type="RDS-PROPCONSTRS" Name="regler_drc_synth.rpt"/> | |||
<File Type="REPORTS-TCL" Name="regler_reports.tcl"/> | |||
<File Type="RDS-RDS" Name="regler.vds"/> | |||
<File Type="RDS-UTIL" Name="regler_utilization_synth.rpt"/> | |||
<File Type="RDS-UTIL-PB" Name="regler_utilization_synth.pb"/> | |||
<File Type="RDS-DCP" Name="regler.dcp"/> | |||
<File Type="VDS-TIMINGSUMMARY" Name="regler_timing_summary_synth.rpt"/> | |||
<File Type="VDS-TIMING-PB" Name="regler_timing_summary_synth.pb"/> | |||
<FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> | |||
<Filter Type="Srcs"/> | |||
<File Path="$PSRCDIR/sources_1/new/pwm_test.vhd"> | |||
<FileInfo> | |||
<Attr Name="UsedIn" Val="synthesis"/> | |||
<Attr Name="UsedIn" Val="simulation"/> | |||
</FileInfo> | |||
</File> | |||
<File Path="$PSRCDIR/sources_1/bd/design_1/design_1.bd"> | |||
<FileInfo> | |||
<Attr Name="AutoDisabled" Val="1"/> | |||
<Attr Name="UsedIn" Val="synthesis"/> | |||
<Attr Name="UsedIn" Val="implementation"/> | |||
<Attr Name="UsedIn" Val="simulation"/> | |||
</FileInfo> | |||
</File> | |||
<File Path="$PSRCDIR/sources_1/new/pt1.vhd"> | |||
<FileInfo> | |||
<Attr Name="AutoDisabled" Val="1"/> | |||
<Attr Name="UsedIn" Val="synthesis"/> | |||
<Attr Name="UsedIn" Val="simulation"/> | |||
</FileInfo> | |||
</File> | |||
<File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_pkg.vhdl"> | |||
<FileInfo SFType="VHDL2008"> | |||
<Attr Name="Library" Val="ieee_proposed"/> | |||
<Attr Name="AutoDisabled" Val="1"/> | |||
<Attr Name="ImportPath" Val="$PPRDIR/../../../../../Bibliotheken/fixedPoint/fixed_pkg.vhdl"/> | |||
<Attr Name="ImportTime" Val="1652436402"/> | |||
<Attr Name="UsedIn" Val="synthesis"/> | |||
<Attr Name="UsedIn" Val="simulation"/> | |||
</FileInfo> | |||
</File> | |||
<File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_float_types.vhdl"> | |||
<FileInfo SFType="VHDL2008"> | |||
<Attr Name="Library" Val="ieee_proposed"/> | |||
<Attr Name="AutoDisabled" Val="1"/> | |||
<Attr Name="ImportPath" Val="$PPRDIR/../../../../../Bibliotheken/fixedPoint/fixed_float_types.vhdl"/> | |||
<Attr Name="ImportTime" Val="1652436395"/> | |||
<Attr Name="UsedIn" Val="synthesis"/> | |||
<Attr Name="UsedIn" Val="simulation"/> | |||
</FileInfo> | |||
</File> | |||
<File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_generic_pkg.vhdl"> | |||
<FileInfo SFType="VHDL2008"> | |||
<Attr Name="Library" Val="ieee_proposed"/> | |||
<Attr Name="AutoDisabled" Val="1"/> | |||
<Attr Name="ImportPath" Val="$PPRDIR/../../../../../Bibliotheken/fixedPoint/fixed_generic_pkg.vhdl"/> | |||
<Attr Name="ImportTime" Val="1652436398"/> | |||
<Attr Name="UsedIn" Val="synthesis"/> | |||
<Attr Name="UsedIn" Val="simulation"/> | |||
</FileInfo> | |||
</File> | |||
<File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_generic_pkg-body.vhdl"> | |||
<FileInfo SFType="VHDL2008"> | |||
<Attr Name="Library" Val="ieee_proposed"/> | |||
<Attr Name="AutoDisabled" Val="1"/> | |||
<Attr Name="ImportPath" Val="$PPRDIR/../../../../../Bibliotheken/fixedPoint/fixed_generic_pkg-body.vhdl"/> | |||
<Attr Name="ImportTime" Val="1652436400"/> | |||
<Attr Name="UsedIn" Val="synthesis"/> | |||
<Attr Name="UsedIn" Val="simulation"/> | |||
</FileInfo> | |||
</File> | |||
<Config> | |||
<Option Name="DesignMode" Val="RTL"/> | |||
<Option Name="TopModule" Val="regler"/> | |||
<Option Name="TopAutoSet" Val="TRUE"/> | |||
</Config> | |||
</FileSet> | |||
<FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1"> | |||
<Filter Type="Constrs"/> | |||
<File Path="$PSRCDIR/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc"> | |||
<FileInfo> | |||
<Attr Name="ImportPath" Val="$PPRDIR/../../New_folder/digilent-xdc-master/Cora-Z7-10-Master.xdc"/> | |||
<Attr Name="ImportTime" Val="1640790689"/> | |||
<Attr Name="UsedIn" Val="synthesis"/> | |||
<Attr Name="UsedIn" Val="implementation"/> | |||
</FileInfo> | |||
</File> | |||
<Config> | |||
<Option Name="ConstrsType" Val="XDC"/> | |||
</Config> | |||
</FileSet> | |||
<FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1"> | |||
<Filter Type="Utils"/> | |||
<File Path="$PSRCDIR/utils_1/imports/synth_1/pwm_test.dcp"> | |||
<FileInfo> | |||
<Attr Name="UsedIn" Val="synthesis"/> | |||
<Attr Name="UsedIn" Val="implementation"/> | |||
<Attr Name="UsedInSteps" Val="synth_1"/> | |||
<Attr Name="AutoDcp" Val="1"/> | |||
</FileInfo> | |||
</File> | |||
<File Path="$PSRCDIR/utils_1/imports/synth_1/regler.dcp"> | |||
<FileInfo> | |||
<Attr Name="UsedIn" Val="synthesis"/> | |||
<Attr Name="UsedIn" Val="implementation"/> | |||
<Attr Name="UsedInSteps" Val="synth_1"/> | |||
<Attr Name="AutoDcp" Val="1"/> | |||
</FileInfo> | |||
</File> | |||
<File Path="$PPRDIR/../../../../../StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp"> | |||
<FileInfo> | |||
<Attr Name="UsedIn" Val="synthesis"/> | |||
<Attr Name="UsedIn" Val="implementation"/> | |||
<Attr Name="UsedInSteps" Val="synth_1"/> | |||
<Attr Name="AutoDcp" Val="1"/> | |||
</FileInfo> | |||
</File> | |||
<Config> | |||
<Option Name="TopAutoSet" Val="TRUE"/> | |||
</Config> | |||
</FileSet> | |||
<Strategy Version="1" Minor="2"> | |||
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2021"/> | |||
<Step Id="synth_design"/> | |||
</Strategy> | |||
</GenRun> |
@@ -0,0 +1,9 @@ | |||
REM | |||
REM Vivado(TM) | |||
REM htr.txt: a Vivado-generated description of how-to-repeat the | |||
REM the basic steps of a run. Note that runme.bat/sh needs | |||
REM to be invoked for Vivado to track run status. | |||
REM Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. | |||
REM | |||
vivado -log regler.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source regler.tcl |
@@ -0,0 +1,31 @@ | |||
version:1 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:37:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:31:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:31:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:566572696c6f67:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:313630:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 | |||
70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 | |||
5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3035343861623234333065633433623139386531656634383534326531333964:506172656e742050412070726f6a656374204944:00 | |||
eof:186662213 |
@@ -0,0 +1,123 @@ | |||
# | |||
# Synthesis run script generated by Vivado | |||
# | |||
set TIME_start [clock seconds] | |||
namespace eval ::optrace { | |||
variable script "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.tcl" | |||
variable category "vivado_synth" | |||
} | |||
# Try to connect to running dispatch if we haven't done so already. | |||
# This code assumes that the Tcl interpreter is not using threads, | |||
# since the ::dispatch::connected variable isn't mutex protected. | |||
if {![info exists ::dispatch::connected]} { | |||
namespace eval ::dispatch { | |||
variable connected false | |||
if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} { | |||
set result "true" | |||
if {[catch { | |||
if {[lsearch -exact [package names] DispatchTcl] < 0} { | |||
set result [load librdi_cd_clienttcl[info sharedlibextension]] | |||
} | |||
if {$result eq "false"} { | |||
puts "WARNING: Could not load dispatch client library" | |||
} | |||
set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ] | |||
if { $connect_id eq "" } { | |||
puts "WARNING: Could not initialize dispatch client" | |||
} else { | |||
puts "INFO: Dispatch client connection id - $connect_id" | |||
set connected true | |||
} | |||
} catch_res]} { | |||
puts "WARNING: failed to connect to dispatch server - $catch_res" | |||
} | |||
} | |||
} | |||
} | |||
if {$::dispatch::connected} { | |||
# Remove the dummy proc if it exists. | |||
if { [expr {[llength [info procs ::OPTRACE]] > 0}] } { | |||
rename ::OPTRACE "" | |||
} | |||
proc ::OPTRACE { task action {tags {} } } { | |||
::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category | |||
} | |||
# dispatch is generic. We specifically want to attach logging. | |||
::vitis_log::connect_client | |||
} else { | |||
# Add dummy proc if it doesn't exist. | |||
if { [expr {[llength [info procs ::OPTRACE]] == 0}] } { | |||
proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} { | |||
# Do nothing | |||
} | |||
} | |||
} | |||
proc create_report { reportName command } { | |||
set status "." | |||
append status $reportName ".fail" | |||
if { [file exists $status] } { | |||
eval file delete [glob $status] | |||
} | |||
send_msg_id runtcl-4 info "Executing : $command" | |||
set retval [eval catch { $command } msg] | |||
if { $retval != 0 } { | |||
set fp [open $status w] | |||
close $fp | |||
send_msg_id runtcl-5 warning "$msg" | |||
} | |||
} | |||
OPTRACE "synth_1" START { ROLLUP_AUTO } | |||
OPTRACE "Creating in-memory project" START { } | |||
create_project -in_memory -part xc7z010clg400-1 | |||
set_param project.singleFileAddWarning.threshold 0 | |||
set_param project.compositeFile.enableAutoGeneration 0 | |||
set_param synth.vivado.isSynthRun true | |||
set_property webtalk.parent_dir C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.cache/wt [current_project] | |||
set_property parent.project_path C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.xpr [current_project] | |||
set_property default_lib xil_defaultlib [current_project] | |||
set_property target_language Verilog [current_project] | |||
set_property ip_output_repo c:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.cache/ip [current_project] | |||
set_property ip_cache_permissions {read write} [current_project] | |||
OPTRACE "Creating in-memory project" END { } | |||
OPTRACE "Adding files" START { } | |||
read_vhdl -library xil_defaultlib C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd | |||
OPTRACE "Adding files" END { } | |||
# Mark all dcp files as not used in implementation to prevent them from being | |||
# stitched into the results of this synthesis run. Any black boxes in the | |||
# design are intentionally left as such for best results. Dcp files will be | |||
# stitched into the design at a later time, either when this synthesis run is | |||
# opened, or when it is stitched into a dependent implementation run. | |||
foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] { | |||
set_property used_in_implementation false $dcp | |||
} | |||
read_xdc C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc | |||
set_property used_in_implementation false [get_files C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc] | |||
set_param ips.enableIPCacheLiteLoad 1 | |||
read_checkpoint -auto_incremental -incremental C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp | |||
close [open __synthesis_is_running__ w] | |||
OPTRACE "synth_design" START { } | |||
synth_design -top regler -part xc7z010clg400-1 | |||
OPTRACE "synth_design" END { } | |||
if { [get_msg_config -count -severity {CRITICAL WARNING}] > 0 } { | |||
send_msg_id runtcl-6 info "Synthesis results are not added to the cache due to CRITICAL_WARNING" | |||
} | |||
OPTRACE "write_checkpoint" START { CHECKPOINT } | |||
# disable binary constraint mode for synth run checkpoints | |||
set_param constraints.enableBinaryConstraints false | |||
write_checkpoint -force -noxdef regler.dcp | |||
OPTRACE "write_checkpoint" END { } | |||
OPTRACE "synth reports" START { REPORT } | |||
create_report "synth_1_synth_report_utilization_0" "report_utilization -file regler_utilization_synth.rpt -pb regler_utilization_synth.pb" | |||
OPTRACE "synth reports" END { } | |||
file delete __synthesis_is_running__ | |||
close [open __synthesis_is_complete__ w] | |||
OPTRACE "synth_1" END { } |
@@ -0,0 +1,325 @@ | |||
#----------------------------------------------------------- | |||
# Vivado v2021.2 (64-bit) | |||
# SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021 | |||
# IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021 | |||
# Start of session at: Mon May 23 22:58:42 2022 | |||
# Process ID: 23388 | |||
# Current directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1 | |||
# Command line: vivado.exe -log regler.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source regler.tcl | |||
# Log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.vds | |||
# Journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1\vivado.jou | |||
# Running On: DESKTOP-PAACOM8, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 16927 MB | |||
#----------------------------------------------------------- | |||
source regler.tcl -notrace | |||
create_project: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1261.043 ; gain = 8.066 | |||
Command: read_checkpoint -auto_incremental -incremental C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp | |||
INFO: [Vivado 12-5825] Read reference checkpoint from C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp for incremental synthesis | |||
INFO: [Vivado 12-7989] Please ensure there are no constraint changes | |||
Command: synth_design -top regler -part xc7z010clg400-1 | |||
Starting synth_design | |||
Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010' | |||
INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010' | |||
INFO: [Device 21-403] Loading part xc7z010clg400-1 | |||
WARNING: [Designutils 20-4072] Reference run did not run incremental synthesis because the design is too small; reverting to default synthesis | |||
INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate} | |||
INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 2 processes. | |||
INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes | |||
INFO: [Synth 8-7075] Helper process launched with PID 25492 | |||
--------------------------------------------------------------------------------- | |||
Starting RTL Elaboration : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1261.043 ; gain = 0.000 | |||
--------------------------------------------------------------------------------- | |||
INFO: [Synth 8-638] synthesizing module 'regler' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:48] | |||
WARNING: [Synth 8-6014] Unused sequential element e_k_reg was removed. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:110] | |||
WARNING: [Synth 8-6014] Unused sequential element u_var_reg was removed. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:121] | |||
INFO: [Synth 8-256] done synthesizing module 'regler' (1#1) [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:48] | |||
WARNING: [Synth 8-7129] Port TV[9] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[8] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[7] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[6] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[5] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[4] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[3] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[2] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[1] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[0] in module regler is either unconnected or has no load | |||
--------------------------------------------------------------------------------- | |||
Finished RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1261.043 ; gain = 0.000 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Handling Custom Attributes | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished Handling Custom Attributes : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 1261.043 ; gain = 0.000 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 1261.043 ; gain = 0.000 | |||
--------------------------------------------------------------------------------- | |||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.018 . Memory (MB): peak = 1261.043 ; gain = 0.000 | |||
INFO: [Project 1-570] Preparing netlist for logic optimization | |||
Processing XDC Constraints | |||
Initializing timing engine | |||
Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc] | |||
Finished Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc] | |||
INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/regler_propImpl.xdc]. | |||
Resolution: To avoid this warning, move constraints listed in [.Xil/regler_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. | |||
Completed Processing XDC Constraints | |||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1273.594 ; gain = 0.000 | |||
INFO: [Project 1-111] Unisim Transformation Summary: | |||
No Unisim elements were transformed. | |||
Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1273.594 ; gain = 0.000 | |||
WARNING: [Designutils 20-4072] Reference run did not run incremental synthesis because the design is too small; reverting to default synthesis | |||
INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate} | |||
--------------------------------------------------------------------------------- | |||
Finished Constraint Validation : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 1273.594 ; gain = 12.551 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Loading Part and Timing Information | |||
--------------------------------------------------------------------------------- | |||
Loading part: xc7z010clg400-1 | |||
--------------------------------------------------------------------------------- | |||
Finished Loading Part and Timing Information : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 1273.594 ; gain = 12.551 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Applying 'set_property' XDC Constraints | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 1273.594 ; gain = 12.551 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 1273.594 ; gain = 12.551 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start RTL Component Statistics | |||
--------------------------------------------------------------------------------- | |||
Detailed RTL Component Info : | |||
+---Adders : | |||
2 Input 148 Bit Adders := 2 | |||
3 Input 64 Bit Adders := 1 | |||
2 Input 64 Bit Adders := 1 | |||
2 Input 20 Bit Adders := 2 | |||
2 Input 10 Bit Adders := 1 | |||
+---Registers : | |||
64 Bit Registers := 1 | |||
+---Multipliers : | |||
41x64 Multipliers := 1 | |||
10x64 Multipliers := 1 | |||
+---Muxes : | |||
2 Input 148 Bit Muxes := 2 | |||
2 Input 20 Bit Muxes := 2 | |||
2 Input 10 Bit Muxes := 1 | |||
--------------------------------------------------------------------------------- | |||
Finished RTL Component Statistics | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Part Resource Summary | |||
--------------------------------------------------------------------------------- | |||
Part Resources: | |||
DSPs: 80 (col length:40) | |||
BRAMs: 120 (col length: RAMB18 40 RAMB36 20) | |||
--------------------------------------------------------------------------------- | |||
Finished Part Resource Summary | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Cross Boundary and Area Optimization | |||
--------------------------------------------------------------------------------- | |||
WARNING: [Synth 8-7080] Parallel synthesis criteria is not met | |||
DSP Report: Generating DSP I_k5, operation Mode is: (A:0xf4240)*B. | |||
DSP Report: operator I_k5 is absorbed into DSP I_k5. | |||
DSP Report: operator I_k5 is absorbed into DSP I_k5. | |||
DSP Report: Generating DSP I_k4, operation Mode is: A*B. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: Generating DSP I_k4, operation Mode is: (PCIN>>17)+A*B. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: Generating DSP I_k4, operation Mode is: A*B. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: Generating DSP I_k4, operation Mode is: PCIN+A*B. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: Generating DSP I_k4, operation Mode is: (PCIN>>17)+A*B. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: Generating DSP I_k4, operation Mode is: A*B. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: Generating DSP I_k4, operation Mode is: (PCIN>>17)+A*B. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: Generating DSP I_k4, operation Mode is: PCIN+A*B. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: Generating DSP u_reg1, operation Mode is: A*B. | |||
DSP Report: operator u_reg1 is absorbed into DSP u_reg1. | |||
DSP Report: operator u_reg1 is absorbed into DSP u_reg1. | |||
DSP Report: Generating DSP u_reg1, operation Mode is: (PCIN>>17)+A*B. | |||
DSP Report: operator u_reg1 is absorbed into DSP u_reg1. | |||
DSP Report: operator u_reg1 is absorbed into DSP u_reg1. | |||
DSP Report: Generating DSP u_reg1, operation Mode is: A*B. | |||
DSP Report: operator u_reg1 is absorbed into DSP u_reg1. | |||
DSP Report: operator u_reg1 is absorbed into DSP u_reg1. | |||
DSP Report: Generating DSP u_reg1, operation Mode is: (PCIN>>17)+A*B. | |||
DSP Report: operator u_reg1 is absorbed into DSP u_reg1. | |||
DSP Report: operator u_reg1 is absorbed into DSP u_reg1. | |||
WARNING: [Synth 8-7129] Port TV[9] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[8] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[7] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[6] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[5] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[4] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[3] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[2] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[1] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[0] in module regler is either unconnected or has no load | |||
--------------------------------------------------------------------------------- | |||
Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:28 ; elapsed = 00:00:29 . Memory (MB): peak = 1273.594 ; gain = 12.551 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start ROM, RAM, DSP, Shift Register and Retiming Reporting | |||
--------------------------------------------------------------------------------- | |||
DSP: Preliminary Mapping Report (see note below. The ' indicates corresponding REG is set) | |||
+------------+----------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ | |||
|Module Name | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG | | |||
+------------+----------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ | |||
|regler | (A:0xf4240)*B | 21 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
|regler | A*B | 24 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
|regler | (PCIN>>17)+A*B | 24 | 13 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
|regler | A*B | 18 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
|regler | PCIN+A*B | 24 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
|regler | (PCIN>>17)+A*B | 18 | 13 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
|regler | A*B | 18 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
|regler | (PCIN>>17)+A*B | 18 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
|regler | PCIN+A*B | 24 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
|regler | A*B | 18 | 10 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
|regler | (PCIN>>17)+A*B | 13 | 10 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
|regler | A*B | 18 | 10 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
|regler | (PCIN>>17)+A*B | 18 | 10 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
+------------+----------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ | |||
Note: The table above is a preliminary report that shows the DSPs inferred at the current stage of the synthesis flow. Some DSP may be reimplemented as non DSP primitives later in the synthesis flow. Multiple instantiated DSPs are reported only once. | |||
--------------------------------------------------------------------------------- | |||
Finished ROM, RAM, DSP, Shift Register and Retiming Reporting | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Applying XDC Timing Constraints | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1288.984 ; gain = 27.941 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Timing Optimization | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished Timing Optimization : Time (s): cpu = 00:00:41 ; elapsed = 00:00:41 . Memory (MB): peak = 1297.449 ; gain = 36.406 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Technology Mapping | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished Technology Mapping : Time (s): cpu = 00:00:44 ; elapsed = 00:00:45 . Memory (MB): peak = 1507.957 ; gain = 246.914 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start IO Insertion | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Flattening Before IO Insertion | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished Flattening Before IO Insertion | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Final Netlist Cleanup | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished Final Netlist Cleanup | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished IO Insertion : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Renaming Generated Instances | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished Renaming Generated Instances : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Rebuilding User Hierarchy | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Renaming Generated Ports | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished Renaming Generated Ports : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Handling Custom Attributes | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished Handling Custom Attributes : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Renaming Generated Nets | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished Renaming Generated Nets : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Writing Synthesis Report | |||
--------------------------------------------------------------------------------- | |||
Report BlackBoxes: | |||
+-+--------------+----------+ | |||
| |BlackBox name |Instances | | |||
+-+--------------+----------+ | |||
+-+--------------+----------+ | |||
Report Cell Usage: | |||
+------+--------+------+ | |||
| |Cell |Count | | |||
+------+--------+------+ | |||
|1 |BUFG | 1| | |||
|2 |CARRY4 | 1613| | |||
|3 |DSP48E1 | 13| | |||
|4 |LUT1 | 165| | |||
|5 |LUT2 | 1000| | |||
|6 |LUT3 | 2638| | |||
|7 |LUT4 | 2484| | |||
|8 |LUT5 | 799| | |||
|9 |LUT6 | 3246| | |||
|10 |FDRE | 128| | |||
|11 |IBUF | 159| | |||
|12 |OBUF | 64| | |||
+------+--------+------+ | |||
--------------------------------------------------------------------------------- | |||
Finished Writing Synthesis Report : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160 | |||
--------------------------------------------------------------------------------- | |||
Synthesis finished with 0 errors, 0 critical warnings and 11 warnings. | |||
Synthesis Optimization Runtime : Time (s): cpu = 00:00:41 ; elapsed = 00:00:49 . Memory (MB): peak = 1517.203 ; gain = 243.609 | |||
Synthesis Optimization Complete : Time (s): cpu = 00:00:51 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160 | |||
INFO: [Project 1-571] Translating synthesized netlist | |||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.125 . Memory (MB): peak = 1517.203 ; gain = 0.000 | |||
INFO: [Netlist 29-17] Analyzing 1626 Unisim elements for replacement | |||
INFO: [Netlist 29-28] Unisim Transformation completed in 1 CPU seconds | |||
WARNING: [Netlist 29-101] Netlist 'regler' is not ideal for floorplanning, since the cellview 'regler' contains a large number of primitives. Please consider enabling hierarchy in synthesis if you want to do floorplanning. | |||
INFO: [Project 1-570] Preparing netlist for logic optimization | |||
INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). | |||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.005 . Memory (MB): peak = 1517.203 ; gain = 0.000 | |||
INFO: [Project 1-111] Unisim Transformation Summary: | |||
No Unisim elements were transformed. | |||
Synth Design complete, checksum: b5ea81b7 | |||
INFO: [Common 17-83] Releasing license: Synthesis | |||
21 Infos, 26 Warnings, 0 Critical Warnings and 0 Errors encountered. | |||
synth_design completed successfully | |||
synth_design: Time (s): cpu = 00:00:58 ; elapsed = 00:00:59 . Memory (MB): peak = 1517.203 ; gain = 256.160 | |||
INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp' has been generated. | |||
INFO: [runtcl-4] Executing : report_utilization -file regler_utilization_synth.rpt -pb regler_utilization_synth.pb | |||
INFO: [Common 17-206] Exiting Vivado at Mon May 23 22:59:52 2022... |
@@ -0,0 +1,181 @@ | |||
Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. | |||
------------------------------------------------------------------------------------------------------- | |||
| Tool Version : Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 | |||
| Date : Mon May 23 22:59:52 2022 | |||
| Host : DESKTOP-PAACOM8 running 64-bit major release (build 9200) | |||
| Command : report_utilization -file regler_utilization_synth.rpt -pb regler_utilization_synth.pb | |||
| Design : regler | |||
| Device : xc7z010clg400-1 | |||
| Speed File : -1 | |||
| Design State : Synthesized | |||
------------------------------------------------------------------------------------------------------- | |||
Utilization Design Information | |||
Table of Contents | |||
----------------- | |||
1. Slice Logic | |||
1.1 Summary of Registers by Type | |||
2. Memory | |||
3. DSP | |||
4. IO and GT Specific | |||
5. Clocking | |||
6. Specific Feature | |||
7. Primitives | |||
8. Black Boxes | |||
9. Instantiated Netlists | |||
1. Slice Logic | |||
-------------- | |||
+-------------------------+------+-------+------------+-----------+-------+ | |||
| Site Type | Used | Fixed | Prohibited | Available | Util% | | |||
+-------------------------+------+-------+------------+-----------+-------+ | |||
| Slice LUTs* | 7673 | 0 | 0 | 17600 | 43.60 | | |||
| LUT as Logic | 7673 | 0 | 0 | 17600 | 43.60 | | |||
| LUT as Memory | 0 | 0 | 0 | 6000 | 0.00 | | |||
| Slice Registers | 128 | 0 | 0 | 35200 | 0.36 | | |||
| Register as Flip Flop | 128 | 0 | 0 | 35200 | 0.36 | | |||
| Register as Latch | 0 | 0 | 0 | 35200 | 0.00 | | |||
| F7 Muxes | 0 | 0 | 0 | 8800 | 0.00 | | |||
| F8 Muxes | 0 | 0 | 0 | 4400 | 0.00 | | |||
+-------------------------+------+-------+------------+-----------+-------+ | |||
* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. | |||
1.1 Summary of Registers by Type | |||
-------------------------------- | |||
+-------+--------------+-------------+--------------+ | |||
| Total | Clock Enable | Synchronous | Asynchronous | | |||
+-------+--------------+-------------+--------------+ | |||
| 0 | _ | - | - | | |||
| 0 | _ | - | Set | | |||
| 0 | _ | - | Reset | | |||
| 0 | _ | Set | - | | |||
| 0 | _ | Reset | - | | |||
| 0 | Yes | - | - | | |||
| 0 | Yes | - | Set | | |||
| 0 | Yes | - | Reset | | |||
| 0 | Yes | Set | - | | |||
| 128 | Yes | Reset | - | | |||
+-------+--------------+-------------+--------------+ | |||
2. Memory | |||
--------- | |||
+----------------+------+-------+------------+-----------+-------+ | |||
| Site Type | Used | Fixed | Prohibited | Available | Util% | | |||
+----------------+------+-------+------------+-----------+-------+ | |||
| Block RAM Tile | 0 | 0 | 0 | 60 | 0.00 | | |||
| RAMB36/FIFO* | 0 | 0 | 0 | 60 | 0.00 | | |||
| RAMB18 | 0 | 0 | 0 | 120 | 0.00 | | |||
+----------------+------+-------+------------+-----------+-------+ | |||
* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 | |||
3. DSP | |||
------ | |||
+----------------+------+-------+------------+-----------+-------+ | |||
| Site Type | Used | Fixed | Prohibited | Available | Util% | | |||
+----------------+------+-------+------------+-----------+-------+ | |||
| DSPs | 13 | 0 | 0 | 80 | 16.25 | | |||
| DSP48E1 only | 13 | | | | | | |||
+----------------+------+-------+------------+-----------+-------+ | |||
4. IO and GT Specific | |||
--------------------- | |||
+-----------------------------+------+-------+------------+-----------+--------+ | |||
| Site Type | Used | Fixed | Prohibited | Available | Util% | | |||
+-----------------------------+------+-------+------------+-----------+--------+ | |||
| Bonded IOB | 223 | 0 | 0 | 100 | 223.00 | | |||
| Bonded IPADs | 0 | 0 | 0 | 2 | 0.00 | | |||
| Bonded IOPADs | 0 | 0 | 0 | 130 | 0.00 | | |||
| PHY_CONTROL | 0 | 0 | 0 | 2 | 0.00 | | |||
| PHASER_REF | 0 | 0 | 0 | 2 | 0.00 | | |||
| OUT_FIFO | 0 | 0 | 0 | 8 | 0.00 | | |||
| IN_FIFO | 0 | 0 | 0 | 8 | 0.00 | | |||
| IDELAYCTRL | 0 | 0 | 0 | 2 | 0.00 | | |||
| IBUFDS | 0 | 0 | 0 | 96 | 0.00 | | |||
| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 8 | 0.00 | | |||
| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 8 | 0.00 | | |||
| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 100 | 0.00 | | |||
| ILOGIC | 0 | 0 | 0 | 100 | 0.00 | | |||
| OLOGIC | 0 | 0 | 0 | 100 | 0.00 | | |||
+-----------------------------+------+-------+------------+-----------+--------+ | |||
5. Clocking | |||
----------- | |||
+------------+------+-------+------------+-----------+-------+ | |||
| Site Type | Used | Fixed | Prohibited | Available | Util% | | |||
+------------+------+-------+------------+-----------+-------+ | |||
| BUFGCTRL | 1 | 0 | 0 | 32 | 3.13 | | |||
| BUFIO | 0 | 0 | 0 | 8 | 0.00 | | |||
| MMCME2_ADV | 0 | 0 | 0 | 2 | 0.00 | | |||
| PLLE2_ADV | 0 | 0 | 0 | 2 | 0.00 | | |||
| BUFMRCE | 0 | 0 | 0 | 4 | 0.00 | | |||
| BUFHCE | 0 | 0 | 0 | 48 | 0.00 | | |||
| BUFR | 0 | 0 | 0 | 8 | 0.00 | | |||
+------------+------+-------+------------+-----------+-------+ | |||
6. Specific Feature | |||
------------------- | |||
+-------------+------+-------+------------+-----------+-------+ | |||
| Site Type | Used | Fixed | Prohibited | Available | Util% | | |||
+-------------+------+-------+------------+-----------+-------+ | |||
| BSCANE2 | 0 | 0 | 0 | 4 | 0.00 | | |||
| CAPTUREE2 | 0 | 0 | 0 | 1 | 0.00 | | |||
| DNA_PORT | 0 | 0 | 0 | 1 | 0.00 | | |||
| EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 | | |||
| FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 | | |||
| ICAPE2 | 0 | 0 | 0 | 2 | 0.00 | | |||
| STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 | | |||
| XADC | 0 | 0 | 0 | 1 | 0.00 | | |||
+-------------+------+-------+------------+-----------+-------+ | |||
7. Primitives | |||
------------- | |||
+----------+------+---------------------+ | |||
| Ref Name | Used | Functional Category | | |||
+----------+------+---------------------+ | |||
| LUT6 | 3246 | LUT | | |||
| LUT3 | 2638 | LUT | | |||
| LUT4 | 2484 | LUT | | |||
| CARRY4 | 1613 | CarryLogic | | |||
| LUT2 | 1000 | LUT | | |||
| LUT5 | 799 | LUT | | |||
| LUT1 | 165 | LUT | | |||
| IBUF | 159 | IO | | |||
| FDRE | 128 | Flop & Latch | | |||
| OBUF | 64 | IO | | |||
| DSP48E1 | 13 | Block Arithmetic | | |||
| BUFG | 1 | Clock | | |||
+----------+------+---------------------+ | |||
8. Black Boxes | |||
-------------- | |||
+----------+------+ | |||
| Ref Name | Used | | |||
+----------+------+ | |||
9. Instantiated Netlists | |||
------------------------ | |||
+----------+------+ | |||
| Ref Name | Used | | |||
+----------+------+ | |||
@@ -0,0 +1,36 @@ | |||
// | |||
// Vivado(TM) | |||
// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 | |||
// Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. | |||
// | |||
var WshShell = new ActiveXObject( "WScript.Shell" ); | |||
var ProcEnv = WshShell.Environment( "Process" ); | |||
var PathVal = ProcEnv("PATH"); | |||
if ( PathVal.length == 0 ) { | |||
PathVal = "C:/Xilinx/Vivado/2021.2/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2021.2/ids_lite/ISE/lib/nt64;C:/Xilinx/Vivado/2021.2/bin;"; | |||
} else { | |||
PathVal = "C:/Xilinx/Vivado/2021.2/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2021.2/ids_lite/ISE/lib/nt64;C:/Xilinx/Vivado/2021.2/bin;" + PathVal; | |||
} | |||
ProcEnv("PATH") = PathVal; | |||
var RDScrFP = WScript.ScriptFullName; | |||
var RDScrN = WScript.ScriptName; | |||
var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); | |||
var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; | |||
eval( EAInclude(ISEJScriptLib) ); | |||
ISEStep( "vivado", | |||
"-log regler.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source regler.tcl" ); | |||
function EAInclude( EAInclFilename ) { | |||
var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); | |||
var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); | |||
var EAIFContents = EAInclFile.ReadAll(); | |||
EAInclFile.Close(); | |||
return EAIFContents; | |||
} |
@@ -0,0 +1,10 @@ | |||
@echo off | |||
rem Vivado (TM) | |||
rem runme.bat: a Vivado-generated Script | |||
rem Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. | |||
set HD_SDIR=%~dp0 | |||
cd /d "%HD_SDIR%" | |||
cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* |
@@ -0,0 +1,324 @@ | |||
*** Running vivado | |||
with args -log regler.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source regler.tcl | |||
****** Vivado v2021.2 (64-bit) | |||
**** SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021 | |||
**** IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021 | |||
** Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. | |||
source regler.tcl -notrace | |||
create_project: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1261.043 ; gain = 8.066 | |||
Command: read_checkpoint -auto_incremental -incremental C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp | |||
INFO: [Vivado 12-5825] Read reference checkpoint from C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp for incremental synthesis | |||
INFO: [Vivado 12-7989] Please ensure there are no constraint changes | |||
Command: synth_design -top regler -part xc7z010clg400-1 | |||
Starting synth_design | |||
Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010' | |||
INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010' | |||
INFO: [Device 21-403] Loading part xc7z010clg400-1 | |||
WARNING: [Designutils 20-4072] Reference run did not run incremental synthesis because the design is too small; reverting to default synthesis | |||
INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate} | |||
INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 2 processes. | |||
INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes | |||
INFO: [Synth 8-7075] Helper process launched with PID 25492 | |||
--------------------------------------------------------------------------------- | |||
Starting RTL Elaboration : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1261.043 ; gain = 0.000 | |||
--------------------------------------------------------------------------------- | |||
INFO: [Synth 8-638] synthesizing module 'regler' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:48] | |||
WARNING: [Synth 8-6014] Unused sequential element e_k_reg was removed. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:110] | |||
WARNING: [Synth 8-6014] Unused sequential element u_var_reg was removed. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:121] | |||
INFO: [Synth 8-256] done synthesizing module 'regler' (1#1) [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:48] | |||
WARNING: [Synth 8-7129] Port TV[9] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[8] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[7] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[6] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[5] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[4] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[3] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[2] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[1] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[0] in module regler is either unconnected or has no load | |||
--------------------------------------------------------------------------------- | |||
Finished RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1261.043 ; gain = 0.000 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Handling Custom Attributes | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished Handling Custom Attributes : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 1261.043 ; gain = 0.000 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 1261.043 ; gain = 0.000 | |||
--------------------------------------------------------------------------------- | |||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.018 . Memory (MB): peak = 1261.043 ; gain = 0.000 | |||
INFO: [Project 1-570] Preparing netlist for logic optimization | |||
Processing XDC Constraints | |||
Initializing timing engine | |||
Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc] | |||
Finished Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc] | |||
INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/regler_propImpl.xdc]. | |||
Resolution: To avoid this warning, move constraints listed in [.Xil/regler_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. | |||
Completed Processing XDC Constraints | |||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1273.594 ; gain = 0.000 | |||
INFO: [Project 1-111] Unisim Transformation Summary: | |||
No Unisim elements were transformed. | |||
Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1273.594 ; gain = 0.000 | |||
WARNING: [Designutils 20-4072] Reference run did not run incremental synthesis because the design is too small; reverting to default synthesis | |||
INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate} | |||
--------------------------------------------------------------------------------- | |||
Finished Constraint Validation : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 1273.594 ; gain = 12.551 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Loading Part and Timing Information | |||
--------------------------------------------------------------------------------- | |||
Loading part: xc7z010clg400-1 | |||
--------------------------------------------------------------------------------- | |||
Finished Loading Part and Timing Information : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 1273.594 ; gain = 12.551 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Applying 'set_property' XDC Constraints | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 1273.594 ; gain = 12.551 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 1273.594 ; gain = 12.551 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start RTL Component Statistics | |||
--------------------------------------------------------------------------------- | |||
Detailed RTL Component Info : | |||
+---Adders : | |||
2 Input 148 Bit Adders := 2 | |||
3 Input 64 Bit Adders := 1 | |||
2 Input 64 Bit Adders := 1 | |||
2 Input 20 Bit Adders := 2 | |||
2 Input 10 Bit Adders := 1 | |||
+---Registers : | |||
64 Bit Registers := 1 | |||
+---Multipliers : | |||
41x64 Multipliers := 1 | |||
10x64 Multipliers := 1 | |||
+---Muxes : | |||
2 Input 148 Bit Muxes := 2 | |||
2 Input 20 Bit Muxes := 2 | |||
2 Input 10 Bit Muxes := 1 | |||
--------------------------------------------------------------------------------- | |||
Finished RTL Component Statistics | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Part Resource Summary | |||
--------------------------------------------------------------------------------- | |||
Part Resources: | |||
DSPs: 80 (col length:40) | |||
BRAMs: 120 (col length: RAMB18 40 RAMB36 20) | |||
--------------------------------------------------------------------------------- | |||
Finished Part Resource Summary | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Cross Boundary and Area Optimization | |||
--------------------------------------------------------------------------------- | |||
WARNING: [Synth 8-7080] Parallel synthesis criteria is not met | |||
DSP Report: Generating DSP I_k5, operation Mode is: (A:0xf4240)*B. | |||
DSP Report: operator I_k5 is absorbed into DSP I_k5. | |||
DSP Report: operator I_k5 is absorbed into DSP I_k5. | |||
DSP Report: Generating DSP I_k4, operation Mode is: A*B. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: Generating DSP I_k4, operation Mode is: (PCIN>>17)+A*B. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: Generating DSP I_k4, operation Mode is: A*B. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: Generating DSP I_k4, operation Mode is: PCIN+A*B. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: Generating DSP I_k4, operation Mode is: (PCIN>>17)+A*B. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: Generating DSP I_k4, operation Mode is: A*B. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: Generating DSP I_k4, operation Mode is: (PCIN>>17)+A*B. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: Generating DSP I_k4, operation Mode is: PCIN+A*B. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: operator I_k4 is absorbed into DSP I_k4. | |||
DSP Report: Generating DSP u_reg1, operation Mode is: A*B. | |||
DSP Report: operator u_reg1 is absorbed into DSP u_reg1. | |||
DSP Report: operator u_reg1 is absorbed into DSP u_reg1. | |||
DSP Report: Generating DSP u_reg1, operation Mode is: (PCIN>>17)+A*B. | |||
DSP Report: operator u_reg1 is absorbed into DSP u_reg1. | |||
DSP Report: operator u_reg1 is absorbed into DSP u_reg1. | |||
DSP Report: Generating DSP u_reg1, operation Mode is: A*B. | |||
DSP Report: operator u_reg1 is absorbed into DSP u_reg1. | |||
DSP Report: operator u_reg1 is absorbed into DSP u_reg1. | |||
DSP Report: Generating DSP u_reg1, operation Mode is: (PCIN>>17)+A*B. | |||
DSP Report: operator u_reg1 is absorbed into DSP u_reg1. | |||
DSP Report: operator u_reg1 is absorbed into DSP u_reg1. | |||
WARNING: [Synth 8-7129] Port TV[9] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[8] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[7] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[6] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[5] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[4] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[3] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[2] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[1] in module regler is either unconnected or has no load | |||
WARNING: [Synth 8-7129] Port TV[0] in module regler is either unconnected or has no load | |||
--------------------------------------------------------------------------------- | |||
Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:28 ; elapsed = 00:00:29 . Memory (MB): peak = 1273.594 ; gain = 12.551 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start ROM, RAM, DSP, Shift Register and Retiming Reporting | |||
--------------------------------------------------------------------------------- | |||
DSP: Preliminary Mapping Report (see note below. The ' indicates corresponding REG is set) | |||
+------------+----------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ | |||
|Module Name | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG | | |||
+------------+----------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ | |||
|regler | (A:0xf4240)*B | 21 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
|regler | A*B | 24 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
|regler | (PCIN>>17)+A*B | 24 | 13 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
|regler | A*B | 18 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
|regler | PCIN+A*B | 24 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
|regler | (PCIN>>17)+A*B | 18 | 13 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
|regler | A*B | 18 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
|regler | (PCIN>>17)+A*B | 18 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
|regler | PCIN+A*B | 24 | 18 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
|regler | A*B | 18 | 10 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
|regler | (PCIN>>17)+A*B | 13 | 10 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
|regler | A*B | 18 | 10 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
|regler | (PCIN>>17)+A*B | 18 | 10 | - | - | 48 | 0 | 0 | - | - | - | 0 | 0 | | |||
+------------+----------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ | |||
Note: The table above is a preliminary report that shows the DSPs inferred at the current stage of the synthesis flow. Some DSP may be reimplemented as non DSP primitives later in the synthesis flow. Multiple instantiated DSPs are reported only once. | |||
--------------------------------------------------------------------------------- | |||
Finished ROM, RAM, DSP, Shift Register and Retiming Reporting | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Applying XDC Timing Constraints | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1288.984 ; gain = 27.941 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Timing Optimization | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished Timing Optimization : Time (s): cpu = 00:00:41 ; elapsed = 00:00:41 . Memory (MB): peak = 1297.449 ; gain = 36.406 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Technology Mapping | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished Technology Mapping : Time (s): cpu = 00:00:44 ; elapsed = 00:00:45 . Memory (MB): peak = 1507.957 ; gain = 246.914 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start IO Insertion | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Flattening Before IO Insertion | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished Flattening Before IO Insertion | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Final Netlist Cleanup | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished Final Netlist Cleanup | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished IO Insertion : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Renaming Generated Instances | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished Renaming Generated Instances : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Rebuilding User Hierarchy | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Renaming Generated Ports | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished Renaming Generated Ports : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Handling Custom Attributes | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished Handling Custom Attributes : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Renaming Generated Nets | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Finished Renaming Generated Nets : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160 | |||
--------------------------------------------------------------------------------- | |||
--------------------------------------------------------------------------------- | |||
Start Writing Synthesis Report | |||
--------------------------------------------------------------------------------- | |||
Report BlackBoxes: | |||
+-+--------------+----------+ | |||
| |BlackBox name |Instances | | |||
+-+--------------+----------+ | |||
+-+--------------+----------+ | |||
Report Cell Usage: | |||
+------+--------+------+ | |||
| |Cell |Count | | |||
+------+--------+------+ | |||
|1 |BUFG | 1| | |||
|2 |CARRY4 | 1613| | |||
|3 |DSP48E1 | 13| | |||
|4 |LUT1 | 165| | |||
|5 |LUT2 | 1000| | |||
|6 |LUT3 | 2638| | |||
|7 |LUT4 | 2484| | |||
|8 |LUT5 | 799| | |||
|9 |LUT6 | 3246| | |||
|10 |FDRE | 128| | |||
|11 |IBUF | 159| | |||
|12 |OBUF | 64| | |||
+------+--------+------+ | |||
--------------------------------------------------------------------------------- | |||
Finished Writing Synthesis Report : Time (s): cpu = 00:00:50 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160 | |||
--------------------------------------------------------------------------------- | |||
Synthesis finished with 0 errors, 0 critical warnings and 11 warnings. | |||
Synthesis Optimization Runtime : Time (s): cpu = 00:00:41 ; elapsed = 00:00:49 . Memory (MB): peak = 1517.203 ; gain = 243.609 | |||
Synthesis Optimization Complete : Time (s): cpu = 00:00:51 ; elapsed = 00:00:51 . Memory (MB): peak = 1517.203 ; gain = 256.160 | |||
INFO: [Project 1-571] Translating synthesized netlist | |||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.125 . Memory (MB): peak = 1517.203 ; gain = 0.000 | |||
INFO: [Netlist 29-17] Analyzing 1626 Unisim elements for replacement | |||
INFO: [Netlist 29-28] Unisim Transformation completed in 1 CPU seconds | |||
WARNING: [Netlist 29-101] Netlist 'regler' is not ideal for floorplanning, since the cellview 'regler' contains a large number of primitives. Please consider enabling hierarchy in synthesis if you want to do floorplanning. | |||
INFO: [Project 1-570] Preparing netlist for logic optimization | |||
INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). | |||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.005 . Memory (MB): peak = 1517.203 ; gain = 0.000 | |||
INFO: [Project 1-111] Unisim Transformation Summary: | |||
No Unisim elements were transformed. | |||
Synth Design complete, checksum: b5ea81b7 | |||
INFO: [Common 17-83] Releasing license: Synthesis | |||
21 Infos, 26 Warnings, 0 Critical Warnings and 0 Errors encountered. | |||
synth_design completed successfully | |||
synth_design: Time (s): cpu = 00:00:58 ; elapsed = 00:00:59 . Memory (MB): peak = 1517.203 ; gain = 256.160 | |||
INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp' has been generated. | |||
INFO: [runtcl-4] Executing : report_utilization -file regler_utilization_synth.rpt -pb regler_utilization_synth.pb | |||
INFO: [Common 17-206] Exiting Vivado at Mon May 23 22:59:52 2022... |
@@ -0,0 +1,43 @@ | |||
#!/bin/sh | |||
# | |||
# Vivado(TM) | |||
# runme.sh: a Vivado-generated Runs Script for UNIX | |||
# Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. | |||
# | |||
echo "This script was generated under a different operating system." | |||
echo "Please update the PATH and LD_LIBRARY_PATH variables below, before executing this script" | |||
exit | |||
if [ -z "$PATH" ]; then | |||
PATH=C:/Xilinx/Vivado/2021.2/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2021.2/ids_lite/ISE/lib/nt64:C:/Xilinx/Vivado/2021.2/bin | |||
else | |||
PATH=C:/Xilinx/Vivado/2021.2/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2021.2/ids_lite/ISE/lib/nt64:C:/Xilinx/Vivado/2021.2/bin:$PATH | |||
fi | |||
export PATH | |||
if [ -z "$LD_LIBRARY_PATH" ]; then | |||
LD_LIBRARY_PATH= | |||
else | |||
LD_LIBRARY_PATH=:$LD_LIBRARY_PATH | |||
fi | |||
export LD_LIBRARY_PATH | |||
HD_PWD='C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1' | |||
cd "$HD_PWD" | |||
HD_LOG=runme.log | |||
/bin/touch $HD_LOG | |||
ISEStep="./ISEWrap.sh" | |||
EAStep() | |||
{ | |||
$ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 | |||
if [ $? -ne 0 ] | |||
then | |||
exit | |||
fi | |||
} | |||
EAStep vivado -log regler.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source regler.tcl |
@@ -0,0 +1,13 @@ | |||
#----------------------------------------------------------- | |||
# Vivado v2021.2 (64-bit) | |||
# SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021 | |||
# IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021 | |||
# Start of session at: Mon May 23 22:58:42 2022 | |||
# Process ID: 23388 | |||
# Current directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1 | |||
# Command line: vivado.exe -log regler.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source regler.tcl | |||
# Log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.vds | |||
# Journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1\vivado.jou | |||
# Running On: DESKTOP-PAACOM8, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 16927 MB | |||
#----------------------------------------------------------- | |||
source regler.tcl -notrace |
@@ -6,7 +6,7 @@ REM Filename : compile.bat | |||
REM Simulator : Xilinx Vivado Simulator | |||
REM Description : Script for compiling the simulation design source files | |||
REM | |||
REM Generated by Vivado on Mon May 23 13:37:07 +0200 2022 | |||
REM Generated by Vivado on Mon May 23 23:00:16 +0200 2022 | |||
REM SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021 | |||
REM | |||
REM IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021 |
@@ -1,2 +1,2 @@ | |||
INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib | |||
INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db' | |||
INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd" into library xil_defaultlib | |||
INFO: [VRFC 10-3107] analyzing entity 'pt1' |
@@ -6,7 +6,7 @@ REM Filename : elaborate.bat | |||
REM Simulator : Xilinx Vivado Simulator | |||
REM Description : Script for elaborating the compiled design | |||
REM | |||
REM Generated by Vivado on Mon May 23 13:37:09 +0200 2022 | |||
REM Generated by Vivado on Mon May 23 23:00:17 +0200 2022 | |||
REM SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021 | |||
REM | |||
REM IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021 |
@@ -3,9 +3,6 @@ Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved. | |||
Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log | |||
Using 2 slave threads. | |||
Starting static elaboration | |||
WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:67] | |||
WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:68] | |||
WARNING: [VRFC 10-3813] value in initialization depends on signal 'kr' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:69] | |||
Completed static elaboration | |||
Starting simulation data flow analysis | |||
Completed simulation data flow analysis | |||
@@ -13,6 +10,7 @@ Time Resolution for simulation is 1ps | |||
Compiling package std.standard | |||
Compiling package std.textio | |||
Compiling package ieee.std_logic_1164 | |||
Compiling package ieee.numeric_std | |||
Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default] | |||
Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default] | |||
Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db |
@@ -6,7 +6,7 @@ REM Filename : simulate.bat | |||
REM Simulator : Xilinx Vivado Simulator | |||
REM Description : Script for simulating the design by launching the simulator | |||
REM | |||
REM Generated by Vivado on Mon May 23 13:37:11 +0200 2022 | |||
REM Generated by Vivado on Mon May 23 23:00:20 +0200 2022 | |||
REM SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021 | |||
REM | |||
REM IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021 |
@@ -54,21 +54,21 @@ | |||
#endif | |||
typedef void (*funcp)(char *, char *); | |||
extern int main(int, char**); | |||
IKI_DLLESPEC extern void execute_13(char*, char *); | |||
IKI_DLLESPEC extern void execute_14(char*, char *); | |||
IKI_DLLESPEC extern void execute_10(char*, char *); | |||
IKI_DLLESPEC extern void execute_12(char*, char *); | |||
IKI_DLLESPEC extern void execute_40(char*, char *); | |||
IKI_DLLESPEC extern void execute_41(char*, char *); | |||
IKI_DLLESPEC extern void execute_37(char*, char *); | |||
IKI_DLLESPEC extern void execute_39(char*, char *); | |||
IKI_DLLESPEC extern void transaction_0(char*, char*, unsigned, unsigned, unsigned); | |||
IKI_DLLESPEC extern void transaction_1(char*, char*, unsigned, unsigned, unsigned); | |||
IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); | |||
funcp funcTab[7] = {(funcp)execute_13, (funcp)execute_14, (funcp)execute_10, (funcp)execute_12, (funcp)transaction_0, (funcp)transaction_1, (funcp)vhdl_transfunc_eventcallback}; | |||
funcp funcTab[7] = {(funcp)execute_40, (funcp)execute_41, (funcp)execute_37, (funcp)execute_39, (funcp)transaction_0, (funcp)transaction_1, (funcp)vhdl_transfunc_eventcallback}; | |||
const int NumRelocateId= 7; | |||
void relocate(char *dp) | |||
{ | |||
iki_relocate(dp, "xsim.dir/pwm_test_db_behav/xsim.reloc", (void **)funcTab, 7); | |||
iki_vhdl_file_variable_register(dp + 6408); | |||
iki_vhdl_file_variable_register(dp + 6464); | |||
iki_vhdl_file_variable_register(dp + 6264); | |||
iki_vhdl_file_variable_register(dp + 6320); | |||
/*Populate the transaction function pointer field in the whole net structure */ |
@@ -1,6 +1,6 @@ | |||
{ | |||
crc : 17288891363008981436 , | |||
crc : 6733451111524596900 , | |||
ccp_crc : 0 , | |||
cmdline : " --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db" , | |||
buildDate : "Oct 19 2021" , |
@@ -29,7 +29,7 @@ SCOPE_NAME_COLUMN_WIDTH=157 | |||
SCOPE_DESIGN_UNIT_COLUMN_WIDTH=75 | |||
SCOPE_BLOCK_TYPE_COLUMN_WIDTH=75 | |||
OBJECT_NAME_COLUMN_WIDTH=156 | |||
OBJECT_VALUE_COLUMN_WIDTH=75 | |||
OBJECT_VALUE_COLUMN_WIDTH=49 | |||
OBJECT_DATA_TYPE_COLUMN_WIDTH=75 | |||
PROCESS_NAME_COLUMN_WIDTH=75 | |||
PROCESS_TYPE_COLUMN_WIDTH=75 | |||
@@ -37,7 +37,7 @@ FRAME_INDEX_COLUMN_WIDTH=75 | |||
FRAME_NAME_COLUMN_WIDTH=75 | |||
FRAME_FILE_NAME_COLUMN_WIDTH=75 | |||
FRAME_LINE_NUM_COLUMN_WIDTH=156 | |||
LOCAL_NAME_COLUMN_WIDTH=75 | |||
LOCAL_NAME_COLUMN_WIDTH=49 | |||
LOCAL_VALUE_COLUMN_WIDTH=75 | |||
LOCAL_DATA_TYPE_COLUMN_WIDTH=0 | |||
PROTO_NAME_COLUMN_WIDTH=0 |
@@ -1,7 +1,7 @@ | |||
Running: xsim.dir/pwm_test_db_behav/xsimk.exe -simmode gui -wdb pwm_test_db_behav.wdb -simrunnum 0 -socket 51806 | |||
Running: xsim.dir/pwm_test_db_behav/xsimk.exe -simmode gui -wdb pwm_test_db_behav.wdb -simrunnum 0 -socket 57651 | |||
Design successfully loaded | |||
Design Loading Memory Usage: 7288 KB (Peak: 7288 KB) | |||
Design Loading CPU Usage: 0 ms | |||
Design Loading Memory Usage: 7284 KB (Peak: 7284 KB) | |||
Design Loading CPU Usage: 61 ms | |||
Simulation completed | |||
Simulation Memory Usage: 15780 KB (Peak: 15780 KB) | |||
Simulation CPU Usage: 1953 ms | |||
Simulation Memory Usage: 15804 KB (Peak: 15804 KB) | |||
Simulation CPU Usage: 7905 ms |
@@ -2,6 +2,6 @@ | |||
2020.2 | |||
Oct 19 2021 | |||
03:16:22 | |||
C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd,1653305822,vhdl,,,,pwm_test_db,,,,,,,, | |||
C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd,1652901752,vhdl,,,,pt1,,,,,,,, | |||
C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd,1652901648,vhdl,,,,regler,,,,,,,, | |||
C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd,1653337595,vhdl,,,,pwm_test_db,,,,,,,, | |||
C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd,1653339512,vhdl,,,,pt1,,,,,,,, | |||
C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd,1653338461,vhdl,,,,regler,,,,,,,, |
@@ -1,2 +1,2 @@ | |||
INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib | |||
INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db' | |||
INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd" into library xil_defaultlib | |||
INFO: [VRFC 10-3107] analyzing entity 'pt1' |
@@ -21,7 +21,7 @@ | |||
library IEEE; | |||
use IEEE.STD_LOGIC_1164.ALL; | |||
use IEEE.numeric_std.ALL; | |||
@@ -43,23 +43,24 @@ end pwm_test_db; | |||
architecture Behavioral of pwm_test_db is | |||
component regler is | |||
Port ( clk : in STD_LOGIC; --Clk -> Gibt Abtastzeit vor | |||
w : in integer := 0; --Sollwert | |||
y : in integer := 0; --Istwert | |||
u : inout integer := 0; --Stellgöße | |||
KR : in integer := 1; -- Verstärkung | |||
T : in integer := 1000; -- Abtastzeit in us | |||
TV : integer := 0; -- Vorhaltezeit für Differenzierer interesannt | |||
TN : in integer := 1); -- Nachstellzeit | |||
Port ( clk : in STD_LOGIC; --Clk -> Gibt abtastzeit vor | |||
w : in signed(63 downto 0) := (others => '0'); --Sollwert | |||
y : in signed(63 downto 0) := (others => '0'); --Istwert | |||
u : inout signed(63 downto 0) := (others => '0'); --Stellgöße | |||
KR : in signed(9 downto 0) := to_signed(1,10); -- Verstärkung | |||
T : in signed(9 downto 0) := to_signed(1000, 10); -- Abtastzeit in us 1000us | |||
TV : signed(9 downto 0) := (others => '0'); -- Vorhaltezeit für Differenzierer interesannt | |||
TN : in signed(9 downto 0) := to_signed(1,10)); -- Nachstellzeit | |||
end component; | |||
component pt1 is | |||
Port ( clk : in STD_LOGIC; | |||
u : in integer; | |||
y : inout integer := 0; -- muss vielleicht initalisiert werden vorher!? | |||
a : in integer :=1; | |||
k : in integer := 1; | |||
stepWidth : integer := 10); --in us | |||
Port ( | |||
clk : in STD_LOGIC; | |||
u : in signed(63 downto 0) := to_signed(1,64); | |||
y : inout signed(63 downto 0) := to_signed(1,64); -- muss vielleicht initalisiert werden vorher!? | |||
a : in signed(9 downto 0) := to_signed(1,10); | |||
k : in signed(9 downto 0) := to_signed(1,10); | |||
stepWidth : signed(9 downto 0) := to_signed(10,10)); --in us -> 10us | |||
end component; | |||
@@ -68,22 +69,22 @@ end component; | |||
signal clk : std_logic := '0'; | |||
signal clk_100 : std_logic := '0'; | |||
signal w : integer := 1000000; | |||
signal u : integer := 0; | |||
signal y : integer := 0; | |||
signal w : signed(63 downto 0) := to_signed(1000000, 64); | |||
signal u : signed(63 downto 0) := to_signed(0, 64); | |||
signal y : signed(63 downto 0) := to_signed(0, 64); | |||
signal cnt : integer := 0; | |||
signal risingEdge : std_logic := '0'; | |||
--Streckenparameter | |||
signal a : integer := 1; | |||
signal k : integer := 1; | |||
signal stepWidth : integer := 10; | |||
signal a : signed(9 downto 0) := to_signed(1, 10); | |||
signal k : signed(9 downto 0) := to_signed(1, 10); | |||
signal stepWidth : signed(9 downto 0) := to_signed(10, 10); | |||
--Reglerparameter | |||
signal KR : integer := 1; -- Verstärkung | |||
signal T : integer := 1000; -- Abtastzeit in ns = 1ms = 1000000ns | |||
signal TV : integer := 0; -- Vorhaltezeit für Differenzierer interesannt | |||
signal TN : integer := 100000; -- Nachstellzeit in us | |||
signal KR : signed(9 downto 0) := to_signed(1, 10); -- Verstärkung | |||
signal T : signed(9 downto 0) := to_signed(1000, 10); -- Abtastzeit in ns = 1ms = 1000000ns | |||
signal TV : signed(9 downto 0) := to_signed(0, 10); -- Vorhaltezeit für Differenzierer interesannt | |||
signal TN : signed(9 downto 0) := to_signed(100000, 10); -- Nachstellzeit in us | |||
@@ -117,7 +118,7 @@ clk <= not clk after 5 us; | |||
process | |||
begin | |||
--w <= 100000000; | |||
w <= 1000000; --muss >= 1000000 sein! | |||
w <= to_signed(1000000, 64); --muss >= 1000000 sein! | |||
-- if rising_edge(clk) and ( cnt >= 100) then | |||
-- clk_100 <= not clk_100; |
@@ -21,6 +21,7 @@ | |||
library IEEE; | |||
use IEEE.STD_LOGIC_1164.ALL; | |||
use IEEE.numeric_std.ALL; | |||
-- Uncomment the following library declaration if using | |||
-- arithmetic functions with Signed or Unsigned values | |||
@@ -34,17 +35,18 @@ use IEEE.STD_LOGIC_1164.ALL; | |||
entity pt1 is | |||
Port ( | |||
clk : in STD_LOGIC; | |||
u : in integer := 0; | |||
y : inout integer := 0; -- muss vielleicht initalisiert werden vorher!? | |||
a : in integer := 1; | |||
k : in integer := 1; | |||
stepWidth : integer := 10); --in us | |||
u : in signed(63 downto 0) := to_signed(0, 64); | |||
y : inout signed(63 downto 0) := to_signed(0, 64); -- muss vielleicht initalisiert werden vorher!? | |||
a : in signed(9 downto 0) := to_signed(1, 10); | |||
k : in signed(9 downto 0) := to_signed(1, 10); | |||
stepWidth : signed(9 downto 0) := to_signed(10, 10)); --in us -> 10us | |||
end pt1; | |||
architecture Behavioral of pt1 is | |||
--signal stepWidth : integer := 10; -- in us -> 10 us später berechnet aus Clk und Prescaler | |||
signal prescaler : integer := 1000000; -- prescaler für Zeit | |||
signal prescaler : signed(63 downto 0) := to_signed(1000000, 64); -- prescaler für Zeit | |||
-- Konstanten Streckenparameter | |||
--signal a : integer := 1; | |||
@@ -54,12 +56,18 @@ signal prescaler : integer := 1000000; -- prescaler f | |||
-- signal u : integer := 100000; -- Eingangswert Strecke jetzt u aus port | |||
-- signal x : integer := 0; -- Ausgangssignal Strecke -> Stellgröße jetzt y aus port | |||
begin | |||
process(clk) | |||
variable y_var : signed(83 downto 0) := to_signed(0, 84); | |||
begin | |||
if rising_edge(clk) then | |||
y <= y + stepWidth*(k*u-a*y)/prescaler; -- durch 1000 wg. milisekunden abtastzeit | |||
y_var := y + stepWidth * (k * u - a * y) / prescaler; -- durch 1000 wg. milisekunden abtastzeit | |||
y <= y_var(63 downto 0); | |||
end if; | |||
end process; |
@@ -21,6 +21,7 @@ | |||
library IEEE; | |||
use IEEE.STD_LOGIC_1164.ALL; | |||
use IEEE.numeric_std.ALL; | |||
--use IEEE.MATH_REAL.ALL; | |||
--use IEEE.float_pkg.ALL; | |||
@@ -35,20 +36,20 @@ use IEEE.STD_LOGIC_1164.ALL; | |||
entity regler is | |||
Port ( clk : in STD_LOGIC; --Clk -> Gibt abtastzeit vor | |||
w : in integer := 0; --Sollwert | |||
y : in integer := 0; --Istwert | |||
u : inout integer := 0; --Stellgöße | |||
KR : in integer := 1; -- Verstärkung | |||
T : in integer := 1000; -- Abtastzeit in us | |||
TV : integer := 0; -- Vorhaltezeit für Differenzierer interesannt | |||
TN : in integer := 1); -- Nachstellzeit | |||
w : in signed(63 downto 0) := (others => '0'); --Sollwert | |||
y : in signed(63 downto 0) := (others => '0'); --Istwert | |||
u : inout signed(63 downto 0) := (others => '0'); --Stellgöße | |||
KR : in signed(9 downto 0) := to_signed(1, 10); -- Verstärkung | |||
T : in signed(9 downto 0) := to_signed(1000, 10); -- Abtastzeit in us 1000us | |||
TV : signed(9 downto 0) := (others => '0'); -- Vorhaltezeit für Differenzierer interesannt | |||
TN : in signed(9 downto 0) := to_signed(1, 10)); -- Nachstellzeit | |||
end regler; | |||
architecture Behavioral of regler is | |||
--signal stepWidth : integer := 1; -- 10 us später berechnet aus Clk und Prescaler | |||
signal prescaler : integer :=1000000; -- prescaler für Zeit | |||
signal prescaler : signed(63 downto 0) := to_signed(1000000, 64); -- prescaler für Zeit 1000000 | |||
-- Parameter aus Sprungantwort etc. | |||
@@ -63,20 +64,20 @@ signal prescaler : integer :=1000000; -- prescaler f | |||
--signal b1 : integer; | |||
--signal b2 : integer; | |||
signal a1 : integer := 1; | |||
signal b0 : integer := KR*(1+TV/T); | |||
signal b1 : integer := -KR *(1 - T / TN + 2 * TV / T ); | |||
signal b2 : integer := KR * TV/T; | |||
--signal a1 : signed(63 downto 0) := to_signed(1, 64); | |||
--signal b0 : signed(63 downto 0) := KR *(1 + TV / T); | |||
--signal b1 : signed(63 downto 0) := -KR *(1 - T / TN + 2 * TV / T ); | |||
--signal b2 : signed(63 downto 0) := KR * TV/T; | |||
--interne Signale signal | |||
--signal u_k1 : integer := 0; -- = uk-1 = u (wurde nicht geändert) | |||
--signal e_k : integer := 0; -- aktuelle Reglerdiffferenz | |||
signal e_k1 : integer := 0; -- letzte "" | |||
signal e_k2 : integer := 0; -- vorletzte "" | |||
signal e_k1 : signed(63 downto 0) := to_signed(0, 64); -- letzte "" | |||
signal e_k2 : signed(63 downto 0) := to_signed(0, 64); -- vorletzte "" | |||
signal I_k : integer := 0; -- I-Anteil | |||
signal I_k : signed(147 downto 0) := to_signed(0, 148); -- I-Anteil | |||
-- signal u : integer := 100000; -- Eingangswert Strecke | |||
@@ -90,9 +91,10 @@ begin | |||
process(clk) | |||
variable e_k : integer := 0; -- aktuelle Reglerabweichung | |||
--variable I_k : integer := 0; -- I-Anteil | |||
variable e_k : signed(63 downto 0) := to_signed(0, 64); -- aktuelle Reglerabweichung | |||
--variable I_k : signed(148 downto 0) := to_signed(0, 64); -- I-Anteil | |||
--variable I_k1 : integer := 0; -- letzer I-Anteil | |||
variable u_var : signed(147 downto 0) := to_signed(0, 148); | |||
begin | |||
@@ -116,7 +118,8 @@ process(clk) | |||
-- PI-Regler ---------------------------------------- | |||
I_k <= I_k + T * 1 / TN * prescaler * e_k / prescaler; -- I-Anteil berechnen | |||
u <= KR * e_k + I_k; | |||
u_var := KR * e_k + I_k; | |||
u <= u_var(63 downto 0); | |||
----------------------------------------------------- | |||
--u <= w; -- Regler überbrücken! |
@@ -56,7 +56,7 @@ | |||
<Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/> | |||
<Option Name="EnableBDX" Val="FALSE"/> | |||
<Option Name="DSABoardId" Val="zybo-z7-10"/> | |||
<Option Name="WTXSimLaunchSim" Val="148"/> | |||
<Option Name="WTXSimLaunchSim" Val="161"/> | |||
<Option Name="WTModelSimLaunchSim" Val="0"/> | |||
<Option Name="WTQuestaLaunchSim" Val="0"/> | |||
<Option Name="WTIesLaunchSim" Val="0"/> | |||
@@ -247,11 +247,12 @@ | |||
</Simulator> | |||
</Simulators> | |||
<Runs Version="1" Minor="15"> | |||
<Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7z010clg400-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="true" IncrementalCheckpoint="$PPRDIR/../../../../../StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp" WriteIncrSynthDcp="false" State="current" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PPRDIR/../../../../../StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1"> | |||
<Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7z010clg400-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="true" IncrementalCheckpoint="$PPRDIR/../../../../../StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PPRDIR/../../../../../StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1"> | |||
<Strategy Version="1" Minor="2"> | |||
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2021"/> | |||
<Step Id="synth_design"/> | |||
</Strategy> | |||
<GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> | |||
<ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2021"/> | |||
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> | |||
<RQSFiles/> |
@@ -10,39 +10,32 @@ | |||
</db_ref> | |||
</db_ref_list> | |||
<zoom_setting> | |||
<ZoomStartTime time="0.000000000000 s"></ZoomStartTime> | |||
<ZoomEndTime time="82.100000000001 s"></ZoomEndTime> | |||
<Cursor1Time time="5.000000000000 s"></Cursor1Time> | |||
<ZoomStartTime time="0.000000 us"></ZoomStartTime> | |||
<ZoomEndTime time="5.000001 us"></ZoomEndTime> | |||
<Cursor1Time time="5.000000 us"></Cursor1Time> | |||
</zoom_setting> | |||
<column_width_setting> | |||
<NameColumnWidth column_width="136"></NameColumnWidth> | |||
<ValueColumnWidth column_width="74"></ValueColumnWidth> | |||
<ValueColumnWidth column_width="70"></ValueColumnWidth> | |||
</column_width_setting> | |||
<WVObjectSize size="5" /> | |||
<wvobject fp_name="/pwm_test_db/clk" type="logic"> | |||
<obj_property name="ElementShortName">clk</obj_property> | |||
<obj_property name="ObjectShortName">clk</obj_property> | |||
</wvobject> | |||
<wvobject fp_name="/pwm_test_db/u" type="other"> | |||
<obj_property name="ElementShortName">u</obj_property> | |||
<obj_property name="ObjectShortName">u</obj_property> | |||
<wvobject fp_name="/pwm_test_db/u" type="array"> | |||
<obj_property name="ElementShortName">u[63:0]</obj_property> | |||
<obj_property name="ObjectShortName">u[63:0]</obj_property> | |||
<obj_property name="Radix">SIGNEDDECRADIX</obj_property> | |||
<obj_property name="WaveformStyle">STYLE_ANALOG</obj_property> | |||
<obj_property name="CellHeight">100</obj_property> | |||
</wvobject> | |||
<wvobject fp_name="/pwm_test_db/y" type="other"> | |||
<obj_property name="ElementShortName">y</obj_property> | |||
<obj_property name="ObjectShortName">y</obj_property> | |||
<wvobject fp_name="/pwm_test_db/y" type="array"> | |||
<obj_property name="ElementShortName">y[63:0]</obj_property> | |||
<obj_property name="ObjectShortName">y[63:0]</obj_property> | |||
<obj_property name="Radix">SIGNEDDECRADIX</obj_property> | |||
<obj_property name="WaveformStyle">STYLE_ANALOG</obj_property> | |||
<obj_property name="AnalogYRangeType">ANALOG_YRANGETYPE_FIXED</obj_property> | |||
<obj_property name="AnalogYRangeMin">0</obj_property> | |||
<obj_property name="AnalogYRRangeMax">0</obj_property> | |||
<obj_property name="CustomSignalColor">#00FF00</obj_property> | |||
<obj_property name="UseCustomSignalColor">true</obj_property> | |||
<obj_property name="CellHeight">100</obj_property> | |||
<obj_property name="Radix">SIGNEDDECRADIX</obj_property> | |||
<obj_property name="AnalogInterpolation">ANALOG_INTERPOLATION_LINEAR</obj_property> | |||
<obj_property name="AnalogOffscale">ANALOG_OFFSCALE_HIDE</obj_property> | |||
<obj_property name="AnalogHorizLine">0.000000</obj_property> | |||
</wvobject> | |||
<wvobject fp_name="/pwm_test_db/clk_100" type="logic"> | |||
<obj_property name="ElementShortName">clk_100</obj_property> |
@@ -13,3 +13,83 @@ | |||
start_gui | |||
open_project C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.xpr | |||
update_compile_order -fileset sources_1 | |||
launch_runs synth_1 -jobs 6 | |||
wait_on_run synth_1 | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
wait_on_run synth_1 | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
wait_on_run synth_1 | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
wait_on_run synth_1 | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
wait_on_run synth_1 | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
wait_on_run synth_1 | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
wait_on_run synth_1 | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
wait_on_run synth_1 | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
wait_on_run synth_1 | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
wait_on_run synth_1 | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
wait_on_run synth_1 | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
wait_on_run synth_1 | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
wait_on_run synth_1 | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
wait_on_run synth_1 | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
wait_on_run synth_1 | |||
launch_simulation | |||
launch_simulation | |||
launch_simulation | |||
launch_simulation | |||
launch_simulation | |||
launch_simulation | |||
launch_simulation | |||
open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg | |||
source pwm_test_db.tcl | |||
save_wave_config {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} | |||
save_wave_config {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} | |||
save_wave_config {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} | |||
save_wave_config {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} | |||
close_sim | |||
launch_simulation | |||
open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg | |||
source pwm_test_db.tcl | |||
add_bp {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd} 64 | |||
remove_bps -file {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd} -line 64 | |||
add_bp {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd} 64 | |||
remove_bps -file {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd} -line 64 | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
wait_on_run synth_1 | |||
close_sim | |||
launch_simulation | |||
open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg | |||
source pwm_test_db.tcl | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
wait_on_run synth_1 | |||
close_sim | |||
launch_simulation | |||
open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg | |||
source pwm_test_db.tcl | |||
close_sim |
@@ -68,3 +68,539 @@ INFO: [IP_Flow 19-1704] No user IP repositories specified | |||
INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2021.2/data/ip'. | |||
open_project: Time (s): cpu = 00:00:26 ; elapsed = 00:00:10 . Memory (MB): peak = 1582.152 ; gain = 0.000 | |||
update_compile_order -fileset sources_1 | |||
launch_runs synth_1 -jobs 6 | |||
[Mon May 23 20:59:31 2022] Launched synth_1... | |||
Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
[Mon May 23 21:01:23 2022] Launched synth_1... | |||
Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
[Mon May 23 21:13:32 2022] Launched synth_1... | |||
Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
[Mon May 23 21:15:40 2022] Launched synth_1... | |||
Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
[Mon May 23 21:18:26 2022] Launched synth_1... | |||
Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
[Mon May 23 21:20:30 2022] Launched synth_1... | |||
Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
[Mon May 23 21:21:45 2022] Launched synth_1... | |||
Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
[Mon May 23 21:23:09 2022] Launched synth_1... | |||
Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
[Mon May 23 21:24:17 2022] Launched synth_1... | |||
Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
[Mon May 23 21:25:12 2022] Launched synth_1... | |||
Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
[Mon May 23 21:26:12 2022] Launched synth_1... | |||
Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
[Mon May 23 21:27:34 2022] Launched synth_1... | |||
Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
[Mon May 23 22:11:01 2022] Launched synth_1... | |||
Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
[Mon May 23 22:12:13 2022] Launched synth_1... | |||
Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log | |||
reset_run synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
[Mon May 23 22:14:47 2022] Launched synth_1... | |||
Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log | |||
launch_simulation | |||
Command: launch_simulation | |||
INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db' | |||
WARNING: [Vivado 12-12986] Compiled library path does not exist: '' | |||
INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
INFO: [SIM-utils-51] Simulation object is 'sim_1' | |||
INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0' | |||
INFO: [USF-XSim-7] Finding pre-compiled libraries... | |||
INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'... | |||
INFO: [USF-XSim-97] Finding global include files... | |||
INFO: [USF-XSim-98] Fetching design files from 'sim_1'... | |||
INFO: [USF-XSim-2] XSim::Compile design | |||
INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
"xvhdl --incr --relax -prj pwm_test_db_vhdl.prj" | |||
INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd" into library xil_defaultlib | |||
INFO: [VRFC 10-3107] analyzing entity 'pt1' | |||
INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd" into library xil_defaultlib | |||
INFO: [VRFC 10-3107] analyzing entity 'regler' | |||
INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib | |||
INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db' | |||
ERROR: [VRFC 10-3214] character 'A' is not in element type 'std_ulogic' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd:63] | |||
ERROR: [VRFC 10-3782] unit 'behavioral' ignored due to previous errors [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd:43] | |||
INFO: [VRFC 10-3070] VHDL file 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd' ignored due to errors | |||
INFO: [USF-XSim-69] 'compile' step finished in '2' seconds | |||
INFO: [USF-XSim-99] Step results log file:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/xvhdl.log' | |||
ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/xvhdl.log' file for more information. | |||
ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. | |||
ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. | |||
launch_simulation | |||
Command: launch_simulation | |||
INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db' | |||
WARNING: [Vivado 12-12986] Compiled library path does not exist: '' | |||
INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
INFO: [SIM-utils-51] Simulation object is 'sim_1' | |||
INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0' | |||
INFO: [USF-XSim-7] Finding pre-compiled libraries... | |||
INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'... | |||
INFO: [USF-XSim-97] Finding global include files... | |||
INFO: [USF-XSim-98] Fetching design files from 'sim_1'... | |||
INFO: [USF-XSim-2] XSim::Compile design | |||
INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
"xvhdl --incr --relax -prj pwm_test_db_vhdl.prj" | |||
INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd" into library xil_defaultlib | |||
INFO: [VRFC 10-3107] analyzing entity 'pt1' | |||
INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd" into library xil_defaultlib | |||
INFO: [VRFC 10-3107] analyzing entity 'regler' | |||
INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib | |||
INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db' | |||
INFO: [USF-XSim-69] 'compile' step finished in '2' seconds | |||
INFO: [USF-XSim-3] XSim::Elaborate design | |||
INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
"xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log" | |||
Vivado Simulator v2021.2 | |||
Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved. | |||
Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log | |||
Using 2 slave threads. | |||
Starting static elaboration | |||
ERROR: [VRFC 10-664] expression has 1 elements ; expected 10 [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd:50] | |||
ERROR: [VRFC 10-664] expression has 1 elements ; expected 10 [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd:53] | |||
ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit pwm_test_db in library work failed. | |||
INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds | |||
INFO: [USF-XSim-99] Step results log file:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/elaborate.log' | |||
ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/elaborate.log' file for more information. | |||
ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. | |||
ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. | |||
launch_simulation | |||
Command: launch_simulation | |||
INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db' | |||
WARNING: [Vivado 12-12986] Compiled library path does not exist: '' | |||
INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
INFO: [SIM-utils-51] Simulation object is 'sim_1' | |||
INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0' | |||
INFO: [USF-XSim-7] Finding pre-compiled libraries... | |||
INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'... | |||
INFO: [USF-XSim-97] Finding global include files... | |||
INFO: [USF-XSim-98] Fetching design files from 'sim_1'... | |||
INFO: [USF-XSim-2] XSim::Compile design | |||
INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
"xvhdl --incr --relax -prj pwm_test_db_vhdl.prj" | |||
INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib | |||
INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db' | |||
INFO: [USF-XSim-69] 'compile' step finished in '2' seconds | |||
INFO: [USF-XSim-3] XSim::Elaborate design | |||
INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
"xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log" | |||
Vivado Simulator v2021.2 | |||
Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved. | |||
Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log | |||
Using 2 slave threads. | |||
Starting static elaboration | |||
ERROR: [VRFC 10-664] expression has 1 elements ; expected 10 [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:42] | |||
ERROR: [VRFC 10-664] expression has 1 elements ; expected 10 [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:45] | |||
ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit pwm_test_db in library work failed. | |||
INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds | |||
INFO: [USF-XSim-99] Step results log file:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/elaborate.log' | |||
ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/elaborate.log' file for more information. | |||
ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. | |||
ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. | |||
launch_simulation | |||
Command: launch_simulation | |||
INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db' | |||
WARNING: [Vivado 12-12986] Compiled library path does not exist: '' | |||
INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
INFO: [SIM-utils-51] Simulation object is 'sim_1' | |||
INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0' | |||
INFO: [USF-XSim-7] Finding pre-compiled libraries... | |||
INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'... | |||
INFO: [USF-XSim-97] Finding global include files... | |||
INFO: [USF-XSim-98] Fetching design files from 'sim_1'... | |||
INFO: [USF-XSim-2] XSim::Compile design | |||
INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
"xvhdl --incr --relax -prj pwm_test_db_vhdl.prj" | |||
INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd" into library xil_defaultlib | |||
INFO: [VRFC 10-3107] analyzing entity 'pt1' | |||
INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd" into library xil_defaultlib | |||
INFO: [VRFC 10-3107] analyzing entity 'regler' | |||
INFO: [USF-XSim-69] 'compile' step finished in '2' seconds | |||
INFO: [USF-XSim-3] XSim::Elaborate design | |||
INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
"xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log" | |||
Vivado Simulator v2021.2 | |||
Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved. | |||
Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log | |||
Using 2 slave threads. | |||
Starting static elaboration | |||
ERROR: [VRFC 10-3311] expression has 10 elements ; formal 'stepwidth' expects 64 [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd:110] | |||
ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit pwm_test_db in library work failed. | |||
INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds | |||
INFO: [USF-XSim-99] Step results log file:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/elaborate.log' | |||
ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/elaborate.log' file for more information. | |||
ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. | |||
launch_simulation: Time (s): cpu = 00:00:00 ; elapsed = 00:00:05 . Memory (MB): peak = 1582.152 ; gain = 0.000 | |||
ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. | |||
launch_simulation | |||
Command: launch_simulation | |||
INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db' | |||
WARNING: [Vivado 12-12986] Compiled library path does not exist: '' | |||
INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
INFO: [SIM-utils-51] Simulation object is 'sim_1' | |||
INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0' | |||
INFO: [USF-XSim-7] Finding pre-compiled libraries... | |||
INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'... | |||
INFO: [USF-XSim-97] Finding global include files... | |||
INFO: [USF-XSim-98] Fetching design files from 'sim_1'... | |||
INFO: [USF-XSim-2] XSim::Compile design | |||
INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
"xvhdl --incr --relax -prj pwm_test_db_vhdl.prj" | |||
INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd" into library xil_defaultlib | |||
INFO: [VRFC 10-3107] analyzing entity 'pt1' | |||
INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib | |||
INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db' | |||
INFO: [USF-XSim-69] 'compile' step finished in '1' seconds | |||
INFO: [USF-XSim-3] XSim::Elaborate design | |||
INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
"xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log" | |||
Vivado Simulator v2021.2 | |||
Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved. | |||
Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log | |||
Using 2 slave threads. | |||
Starting static elaboration | |||
ERROR: [VRFC 10-664] expression has 10 elements ; expected 64 [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd:59] | |||
ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit pwm_test_db in library work failed. | |||
INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds | |||
INFO: [USF-XSim-99] Step results log file:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/elaborate.log' | |||
ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/elaborate.log' file for more information. | |||
ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. | |||
ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. | |||
launch_simulation | |||
Command: launch_simulation | |||
INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db' | |||
WARNING: [Vivado 12-12986] Compiled library path does not exist: '' | |||
INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
INFO: [SIM-utils-51] Simulation object is 'sim_1' | |||
INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0' | |||
INFO: [USF-XSim-7] Finding pre-compiled libraries... | |||
INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'... | |||
INFO: [USF-XSim-97] Finding global include files... | |||
INFO: [USF-XSim-98] Fetching design files from 'sim_1'... | |||
INFO: [USF-XSim-2] XSim::Compile design | |||
INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
"xvhdl --incr --relax -prj pwm_test_db_vhdl.prj" | |||
INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib | |||
INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db' | |||
INFO: [USF-XSim-69] 'compile' step finished in '2' seconds | |||
INFO: [USF-XSim-3] XSim::Elaborate design | |||
INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
"xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log" | |||
Vivado Simulator v2021.2 | |||
Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved. | |||
Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log | |||
Using 2 slave threads. | |||
Starting static elaboration | |||
ERROR: [VRFC 10-664] expression has 10 elements ; expected 64 [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd:60] | |||
ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit pwm_test_db in library work failed. | |||
INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds | |||
INFO: [USF-XSim-99] Step results log file:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/elaborate.log' | |||
ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim/elaborate.log' file for more information. | |||
ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. | |||
ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. | |||
launch_simulation | |||
Command: launch_simulation | |||
INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db' | |||
WARNING: [Vivado 12-12986] Compiled library path does not exist: '' | |||
INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
INFO: [SIM-utils-51] Simulation object is 'sim_1' | |||
INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0' | |||
INFO: [USF-XSim-7] Finding pre-compiled libraries... | |||
INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'... | |||
INFO: [USF-XSim-97] Finding global include files... | |||
INFO: [USF-XSim-98] Fetching design files from 'sim_1'... | |||
INFO: [USF-XSim-2] XSim::Compile design | |||
INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
"xvhdl --incr --relax -prj pwm_test_db_vhdl.prj" | |||
INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib | |||
INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db' | |||
INFO: [USF-XSim-69] 'compile' step finished in '2' seconds | |||
INFO: [USF-XSim-3] XSim::Elaborate design | |||
INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
"xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log" | |||
Vivado Simulator v2021.2 | |||
Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved. | |||
Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log | |||
Using 2 slave threads. | |||
Starting static elaboration | |||
Completed static elaboration | |||
Starting simulation data flow analysis | |||
Completed simulation data flow analysis | |||
Time Resolution for simulation is 1ps | |||
Compiling package std.standard | |||
Compiling package std.textio | |||
Compiling package ieee.std_logic_1164 | |||
Compiling package ieee.numeric_std | |||
Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default] | |||
Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default] | |||
Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db | |||
Built simulation snapshot pwm_test_db_behav | |||
INFO: [USF-XSim-69] 'elaborate' step finished in '4' seconds | |||
INFO: [USF-XSim-4] XSim::Simulate design | |||
INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
INFO: [USF-XSim-98] *** Running xsim | |||
with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}" | |||
INFO: [USF-XSim-8] Loading simulator feature | |||
Time resolution is 1 ps | |||
open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg | |||
source pwm_test_db.tcl | |||
# set curr_wave [current_wave_config] | |||
# if { [string length $curr_wave] == 0 } { | |||
# if { [llength [get_objects]] > 0} { | |||
# add_wave / | |||
# set_property needs_save false [current_wave_config] | |||
# } else { | |||
# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." | |||
# } | |||
# } | |||
# run 10 s | |||
ERROR: Array sizes do not match, left array has 64 elements, right array has 84 elements | |||
Time: 5 us Iteration: 0 Process: /pwm_test_db/uut_pt1/line__61 | |||
File: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd | |||
HDL Line: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd:64 | |||
INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded. | |||
INFO: [USF-XSim-97] XSim simulation ran for 10 s | |||
launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 1582.152 ; gain = 0.000 | |||
save_wave_config {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} | |||
save_wave_config {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} | |||
save_wave_config {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} | |||
save_wave_config {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} | |||
close_sim | |||
INFO: [Simtcl 6-16] Simulation closed | |||
launch_simulation | |||
Command: launch_simulation | |||
INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db' | |||
WARNING: [Vivado 12-12986] Compiled library path does not exist: '' | |||
INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
INFO: [SIM-utils-51] Simulation object is 'sim_1' | |||
INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0' | |||
INFO: [USF-XSim-7] Finding pre-compiled libraries... | |||
INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'... | |||
INFO: [USF-XSim-97] Finding global include files... | |||
INFO: [USF-XSim-98] Fetching design files from 'sim_1'... | |||
INFO: [USF-XSim-2] XSim::Compile design | |||
INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
"xvhdl --incr --relax -prj pwm_test_db_vhdl.prj" | |||
INFO: [USF-XSim-69] 'compile' step finished in '2' seconds | |||
INFO: [USF-XSim-3] XSim::Elaborate design | |||
INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
"xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log" | |||
Vivado Simulator v2021.2 | |||
Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved. | |||
Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log | |||
Using 2 slave threads. | |||
Starting static elaboration | |||
Completed static elaboration | |||
INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel | |||
INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds | |||
INFO: [USF-XSim-4] XSim::Simulate design | |||
INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
INFO: [USF-XSim-98] *** Running xsim | |||
with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}" | |||
INFO: [USF-XSim-8] Loading simulator feature | |||
Time resolution is 1 ps | |||
open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg | |||
source pwm_test_db.tcl | |||
# set curr_wave [current_wave_config] | |||
# if { [string length $curr_wave] == 0 } { | |||
# if { [llength [get_objects]] > 0} { | |||
# add_wave / | |||
# set_property needs_save false [current_wave_config] | |||
# } else { | |||
# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." | |||
# } | |||
# } | |||
# run 10 s | |||
ERROR: Array sizes do not match, left array has 64 elements, right array has 84 elements | |||
Time: 5 us Iteration: 0 Process: /pwm_test_db/uut_pt1/line__61 | |||
File: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd | |||
HDL Line: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd:64 | |||
INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded. | |||
INFO: [USF-XSim-97] XSim simulation ran for 10 s | |||
launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:07 . Memory (MB): peak = 1582.152 ; gain = 0.000 | |||
add_bp {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd} 64 | |||
remove_bps -file {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd} -line 64 | |||
add_bp {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd} 64 | |||
remove_bps -file {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd} -line 64 | |||
reset_run synth_1 | |||
INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp | |||
WARNING: [Vivado 12-1017] Problems encountered: | |||
1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
[Mon May 23 22:30:42 2022] Launched synth_1... | |||
Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log | |||
close_sim | |||
INFO: [Simtcl 6-16] Simulation closed | |||
launch_simulation | |||
Command: launch_simulation | |||
INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db' | |||
WARNING: [Vivado 12-12986] Compiled library path does not exist: '' | |||
INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
INFO: [SIM-utils-51] Simulation object is 'sim_1' | |||
INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0' | |||
INFO: [USF-XSim-7] Finding pre-compiled libraries... | |||
INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'... | |||
INFO: [USF-XSim-97] Finding global include files... | |||
INFO: [USF-XSim-98] Fetching design files from 'sim_1'... | |||
INFO: [USF-XSim-2] XSim::Compile design | |||
INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
"xvhdl --incr --relax -prj pwm_test_db_vhdl.prj" | |||
INFO: [USF-XSim-69] 'compile' step finished in '2' seconds | |||
INFO: [USF-XSim-3] XSim::Elaborate design | |||
INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
"xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log" | |||
Vivado Simulator v2021.2 | |||
Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved. | |||
Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log | |||
Using 2 slave threads. | |||
Starting static elaboration | |||
Completed static elaboration | |||
INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel | |||
INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds | |||
INFO: [USF-XSim-4] XSim::Simulate design | |||
INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
INFO: [USF-XSim-98] *** Running xsim | |||
with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}" | |||
INFO: [USF-XSim-8] Loading simulator feature | |||
Time resolution is 1 ps | |||
open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg | |||
source pwm_test_db.tcl | |||
# set curr_wave [current_wave_config] | |||
# if { [string length $curr_wave] == 0 } { | |||
# if { [llength [get_objects]] > 0} { | |||
# add_wave / | |||
# set_property needs_save false [current_wave_config] | |||
# } else { | |||
# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." | |||
# } | |||
# } | |||
# run 10 s | |||
ERROR: Array sizes do not match, left array has 64 elements, right array has 84 elements | |||
Time: 5 us Iteration: 0 Process: /pwm_test_db/uut_pt1/line__61 | |||
File: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd | |||
HDL Line: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd:64 | |||
INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded. | |||
INFO: [USF-XSim-97] XSim simulation ran for 10 s | |||
launch_simulation: Time (s): cpu = 00:00:03 ; elapsed = 00:00:06 . Memory (MB): peak = 1582.152 ; gain = 0.000 | |||
reset_run synth_1 | |||
INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp | |||
WARNING: [Vivado 12-1017] Problems encountered: | |||
1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1 | |||
launch_runs synth_1 -jobs 6 | |||
[Mon May 23 22:43:21 2022] Launched synth_1... | |||
Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/runme.log | |||
close_sim | |||
INFO: [Simtcl 6-16] Simulation closed | |||
launch_simulation | |||
Command: launch_simulation | |||
INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db' | |||
WARNING: [Vivado 12-12986] Compiled library path does not exist: '' | |||
INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
INFO: [SIM-utils-51] Simulation object is 'sim_1' | |||
INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0' | |||
INFO: [USF-XSim-7] Finding pre-compiled libraries... | |||
INFO: [USF-XSim-11] File 'C:/Xilinx/Vivado/2021.2/data/xsim/xsim.ini' copied to run dir:'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'... | |||
INFO: [USF-XSim-97] Finding global include files... | |||
INFO: [USF-XSim-98] Fetching design files from 'sim_1'... | |||
INFO: [USF-XSim-2] XSim::Compile design | |||
INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
"xvhdl --incr --relax -prj pwm_test_db_vhdl.prj" | |||
INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd" into library xil_defaultlib | |||
INFO: [VRFC 10-3107] analyzing entity 'pt1' | |||
INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd" into library xil_defaultlib | |||
INFO: [VRFC 10-3107] analyzing entity 'regler' | |||
INFO: [USF-XSim-69] 'compile' step finished in '2' seconds | |||
INFO: [USF-XSim-3] XSim::Elaborate design | |||
INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
"xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log" | |||
Vivado Simulator v2021.2 | |||
Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved. | |||
Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log | |||
Using 2 slave threads. | |||
Starting static elaboration | |||
Completed static elaboration | |||
Starting simulation data flow analysis | |||
Completed simulation data flow analysis | |||
Time Resolution for simulation is 1ps | |||
Compiling package std.standard | |||
Compiling package std.textio | |||
Compiling package ieee.std_logic_1164 | |||
Compiling package ieee.numeric_std | |||
Compiling architecture behavioral of entity xil_defaultlib.regler [regler_default] | |||
Compiling architecture behavioral of entity xil_defaultlib.pt1 [pt1_default] | |||
Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db | |||
Built simulation snapshot pwm_test_db_behav | |||
INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds | |||
INFO: [USF-XSim-4] XSim::Simulate design | |||
INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.sim/sim_1/behav/xsim' | |||
INFO: [USF-XSim-98] *** Running xsim | |||
with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg} -log {simulate.log}" | |||
INFO: [USF-XSim-8] Loading simulator feature | |||
Time resolution is 1 ps | |||
open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/pwm_test_db_func_synth.wcfg | |||
source pwm_test_db.tcl | |||
# set curr_wave [current_wave_config] | |||
# if { [string length $curr_wave] == 0 } { | |||
# if { [llength [get_objects]] > 0} { | |||
# add_wave / | |||
# set_property needs_save false [current_wave_config] | |||
# } else { | |||
# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." | |||
# } | |||
# } | |||
# run 10 s | |||
ERROR: Array sizes do not match, left array has 148 elements, right array has 84 elements | |||
Time: 5 us Iteration: 0 Process: /pwm_test_db/uut_pt1/line__63 | |||
File: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd | |||
HDL Line: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pt1.vhd:69 | |||
INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded. | |||
INFO: [USF-XSim-97] XSim simulation ran for 10 s | |||
launch_simulation: Time (s): cpu = 00:00:02 ; elapsed = 00:00:07 . Memory (MB): peak = 1582.152 ; gain = 0.000 | |||
close_sim | |||
INFO: [Simtcl 6-16] Simulation closed | |||
exit | |||
INFO: [Common 17-206] Exiting Vivado at Mon May 23 22:51:46 2022... |
@@ -1,112 +0,0 @@ | |||
/* | |||
Xilinx Vivado v2021.2 (64-bit) [Major: 2021, Minor: 2] | |||
SW Build: 3367213 on Tue Oct 19 02:48:09 MDT 2021 | |||
IP Build: 3369179 on Thu Oct 21 08:25:16 MDT 2021 | |||
Process ID (PID): 10504 | |||
License: Customer | |||
Mode: GUI Mode | |||
Current time: Mon May 23 20:31:24 CEST 2022 | |||
Time zone: Central European Standard Time (Europe/Berlin) | |||
OS: Windows 10 | |||
OS Version: 10.0 | |||
OS Architecture: amd64 | |||
Available processors (cores): 12 | |||
Screen size: 1920x1080 | |||
Screen resolution (DPI): 100 | |||
Available screens: 2 | |||
Default font: family=Dialog,name=Dialog,style=plain,size=12 | |||
Scale size: 12 | |||
Java version: 11.0.11 64-bit | |||
Java home: C:/Xilinx/Vivado/2021.2/tps/win64/jre11.0.11_9 | |||
Java executable: C:/Xilinx/Vivado/2021.2/tps/win64/jre11.0.11_9/bin/java.exe | |||
Java arguments: [-Dsun.java2d.pmoffscreen=false, -Dhttps.protocols=TLSv1,TLSv1.1,TLSv1.2, -Dsun.java2d.xrender=false, -Dsun.java2d.d3d=false, -Dsun.awt.nopixfmt=true, -Dsun.java2d.dpiaware=true, -Dsun.java2d.uiScale.enabled=false, -Xverify:none, -Dswing.aatext=true, -XX:-UsePerfData, -Djdk.map.althashing.threshold=512, -XX:StringTableSize=4072, --add-opens=java.base/java.nio=ALL-UNNAMED, --add-opens=java.desktop/sun.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.desktop/com.sun.awt=ALL-UNNAMED, -XX:NewSize=60m, -XX:MaxNewSize=60m, -Xms256m, -Xmx3072m, -Xss5m] | |||
Java initial memory (-Xms): 256 MB | |||
Java maximum memory (-Xmx): 3 GB | |||
User name: Felix | |||
User home directory: C:/Users/Felix | |||
User working directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim | |||
User country: DE | |||
User language: de | |||
User locale: de_DE | |||
RDI_BASEROOT: C:/Xilinx/Vivado | |||
HDI_APPROOT: C:/Xilinx/Vivado/2021.2 | |||
RDI_DATADIR: C:/Xilinx/Vivado/2021.2/data | |||
RDI_BINDIR: C:/Xilinx/Vivado/2021.2/bin | |||
Vivado preferences file: C:/Users/Felix/AppData/Roaming/Xilinx/Vivado/2021.2/vivado.xml | |||
Vivado preferences directory: C:/Users/Felix/AppData/Roaming/Xilinx/Vivado/2021.2/ | |||
Vivado layouts directory: C:/Users/Felix/AppData/Roaming/Xilinx/Vivado/2021.2/data/layouts | |||
PlanAhead jar file: C:/Xilinx/Vivado/2021.2/lib/classes/planAhead.jar | |||
Vivado log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/vivado.log | |||
Vivado journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/vivado.jou | |||
Engine tmp dir: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/.Xil/Vivado-10504-DESKTOP-PAACOM8 | |||
Xilinx Environment Variables | |||
---------------------------- | |||
TWINCATSDK: C:\TwinCAT\3.1\SDK\ | |||
XILINX: C:/Xilinx/Vivado/2021.2/ids_lite/ISE | |||
XILINX_DSP: C:/Xilinx/Vivado/2021.2/ids_lite/ISE | |||
XILINX_HLS: C:/Xilinx/Vitis_HLS/2021.2 | |||
XILINX_PLANAHEAD: C:/Xilinx/Vivado/2021.2 | |||
XILINX_VIVADO: C:/Xilinx/Vivado/2021.2 | |||
XILINX_VIVADO_HLS: C:/Xilinx/Vivado/2021.2 | |||
GUI allocated memory: 319 MB | |||
GUI max memory: 3,072 MB | |||
Engine allocated memory: 1,307 MB | |||
Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. | |||
*/ | |||
// TclEventType: START_GUI | |||
// Tcl Message: start_gui | |||
// TclEventType: PROJECT_OPEN_DIALOG | |||
// Opening Vivado Project: C:\Users\Felix\OneDrive\Master\Projektarbeit_FPGA\Programme\Git_Projekte\FPGA_Projekt_Regler\StreckenSim_mitRegler\StreckeSim_counter_working\StreckeSim\Coraz7_Test.xpr. Version: Vivado v2021.2 | |||
// TclEventType: DEBUG_PROBE_SET_CHANGE | |||
// TclEventType: FLOW_ADDED | |||
// Tcl Message: open_project C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.xpr | |||
// Tcl Message: INFO: [ProjectBase 1-489] The host OS only allows 260 characters in a normal path. The project is stored in a path with more than 80 characters. If you experience issues with IP, Block Designs, or files not being found, please consider moving the project to a location with a shorter path. Alternately consider using the OS subst command to map part of the path to a drive letter. Current project path is 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim' | |||
// HMemoryUtils.trashcanNow. Engine heap size: 1,307 MB. GUI used memory: 55 MB. Current time: 5/23/22, 8:31:25 PM CEST | |||
// TclEventType: MSGMGR_MOVEMSG | |||
// TclEventType: FILE_SET_CHANGE | |||
// TclEventType: FILE_SET_NEW | |||
// TclEventType: RUN_CURRENT | |||
// TclEventType: PROJECT_DASHBOARD_NEW | |||
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW | |||
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE | |||
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW | |||
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE | |||
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW | |||
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE | |||
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW | |||
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE | |||
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW | |||
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE | |||
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW | |||
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE | |||
// TclEventType: PROJECT_NEW | |||
// [GUI Memory]: 70 MB (+71283kb) [00:00:14] | |||
// [Engine Memory]: 1,307 MB (+1219381kb) [00:00:14] | |||
// [GUI Memory]: 109 MB (+37180kb) [00:00:15] | |||
// WARNING: HEventQueue.dispatchEvent() is taking 2465 ms. | |||
// Tcl Message: open_project C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.xpr | |||
// Tcl Message: INFO: [ProjectBase 1-489] The host OS only allows 260 characters in a normal path. The project is stored in a path with more than 80 characters. If you experience issues with IP, Block Designs, or files not being found, please consider moving the project to a location with a shorter path. Alternately consider using the OS subst command to map part of the path to a drive letter. Current project path is 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim' | |||
// Tcl Message: INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.gen/sources_1'. INFO: [BD 41-2613] The output directory c:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.gen/sources_1/bd/design_1 for design_1 cannot be found. | |||
// Tcl Message: Scanning sources... Finished scanning sources | |||
// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2021.2/data/ip'. | |||
// [GUI Memory]: 117 MB (+2679kb) [00:00:16] | |||
// Project name: Coraz7_Test; location: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim; part: xc7z010clg400-1 | |||
// Tcl Message: open_project: Time (s): cpu = 00:00:26 ; elapsed = 00:00:10 . Memory (MB): peak = 1582.152 ; gain = 0.000 | |||
dismissDialog("Open Project"); // bA | |||
// Tcl Message: update_compile_order -fileset sources_1 |